ASML Holding's (ASML) CEO Peter Wennink on Q1 2015 Results - Earnings Call Transcript

April 15, 2015

ASML Holding NV (NASDAQ:ASML) Q1 2015 Results Earnings Conference Call April 15, 2015 9:00 AM ET

Executives

Craig DeYoung - Vice President, IR
Peter Wennink - Chief Executive Officer
Wolfgang Nickl - Chief Financial Officer

Analysts

Kai Korschelt - Merrill Lynch
C.J. Muse - Evercore ISI
Amit Harchandani - Citigroup
Sandeep Deshpande - JP Morgan
Jérôme Ramel - Exane BNP Paribas
Mahesh Sanganeria - RBC Capital Markets
Tim Arcuri - Cowen & Company
Farhan Ahmad - Credit Suisse
Gareth Jenkins - UBS
Andrew Humphrey - Morgan Stanley
Patrick Ho - Stifel Nicolaus
Weston Twigg - Pacific Crest

Operator

Ladies and gentlemen, thank you for standing by. Welcome to the ASML First Quarter Results Conference Call on April 15, 2015. Throughout today’s introduction, all participants will be in a listen-only mode. After ASML’s introduction, there will be an opportunity to ask questions. [Operator Instructions].

Now I would like to turn the conference over to Mr. Craig DeYoung. Go ahead please, sir.

Craig DeYoung

Thank you Peter and good afternoon and good morning, ladies and gentlemen. This is Craig DeYoung, Vice President of Investor Relations at ASML. Joining me today from our headquarters in Veldhoven, the Netherlands is ASML’s CEO, Peter Wennink and our CFO, Wolfgang Nickl. The subject of today’s call is ASML’s first quarter 2015 results. This call is also being broadcast live over the internet at asml.com and a replay of the call will be available on our website for approximately 90 days.

Before we begin, I’d like to caution listeners that comments made by management during this conference call will include forward-looking statements within the meaning of the Federal Securities Laws. These forward-looking statements involve material risks and uncertainties. For a discussion of risk factors, I encourage you to review the Safe Harbor statement contained in today’s press release and presentation found on our website asml.com and in ASML’s Annual Report on Form 20-F and other documents as filed with the Securities and Exchange Commission. As a reminder, the length of the call today is 60 minutes.

And now, I’d like to turn the call over to Peter Wennink

Peter Wennink

Thank you, Craig. Good morning and good afternoon, ladies and gentlemen. And thank you for joining us for our first quarter 2015 results conference call. Before we begin the question-and-answer session, Wolfgang and I would like to provide an overview and add some commentary on the first quarter and provide our view of the coming quarters. And Wolfgang will start with a review of the first quarter financial performance with added comments on our short-term outlook and I will complete the introduction with some further comments on the current general business environment and our future business outlook. So, Wolfgang, if you will?

Wolfgang Nickl

Thank you, Peter and welcome everyone. In Q1 our net sales came in at €1.65 billion which was in line with our guidance and driven as expected by a nice balance of memory and logic sales. Memory represented 55% and logic represented 45% of systems sales as compared to 65% and 35% in the December quarter respectively. Service and fields option sales came in at €403 million, very similar to last quarter.

Gross margin for the quarter increased from 44% in the December quarter to 47.2% in Q1 and was in line with our guidance. The increase in gross margin was enabled by volume driven cost effects and the product mix which included no EUV system sales for the quarter. R&D expenses came in at €261 million and SG&A expenses came in at €82 million, both in line with guidance despite strengthening of the U.S. dollar throughout the quarter. Our effective tax rate for the quarter was approximately 11% of pretax income.

Turning to the balance sheet, quarter-over-quarter cash, cash equivalents and short-term investments grew to €2.84 billion from €2.75 billion at the end of the prior quarter. Regarding the order book, our Q1 systems bookings came in above the €1 billion mark. We did not book any additional NXE:3350 systems during the quarter. We saw a shift back towards memory in Q1, representing 53% of bookings versus 27% of bookings in Q4. We finished the quarter with the solid overall backlog of €2.6 billion, nicely balanced between memory and logic.

With that I would like to now turn to our expectations and guidance for Q2 and share an initial qualitative view on the balance of 2015.

As mentioned, we end the Q2 with a strong and nicely balanced backlog. From this, we expect strength in systems sales in both memory and logic in the quarter. Adding continued strong service and field option sales expectations support a net sales guidance of around €1.6 billion for Q2. This will lead the first half of 2015 growing over the second half of 2014 as previously forecasted.

Our Q2 net sales guidance and huge revenue recognition of one NXE:3300 system which we shipped in Q1. We expect gross margin for Q2 to be around 45%. The difference from Q1 is largely driven by the recognition of one NXE:3300 EUV system in net sales. R&D expense for the second quarter will be about €265 million and SG&A is expected at about €85 million.

As to the rest of 2015, we do expect that most of the forecasted DRAM memory bit demand will be satisfied with first half year litho shipments, leading memory shipments to taper into the second half of the year. We expect stable foundry business throughout 2015 in support of the current FinFET node ramp and next node development.

Our service and field option sales will likely increase over the coming quarters as we benefit from increased adoption of our Holistic Lithography products and the purchase of system node enhancement packages, supporting customer node migration. We expect this part of our business to reach €500 million per quarter, exiting the year.

Peter will talk more about EUV shortly, but I would like to make a few points regarding 2015 EUV shipments. As most listeners are aware, we continue to show great progress in improving on key EUV performance metrics related to productivity and availability with unchanged targets of improving both further throughout this year. Meanwhile we continue to discuss the exact need and timing of potential NXE:3300 upgrades to NXE:3350 like configurations of three prepaid systems on order. Depending on the outcome of these discussions, we may ship these two of NXE:3300 systems in 2015 or upgrade the systems in 2016.

We hope that these discussions with our customers including agreed upon configuration and shipment timing will be concluded within this quarter. In addition, we continue in-depth interaction with multiple customers on the planned 2015 shipments of four NXE:3350s in addition to the two systems already on order.

I would like to take a moment now to proactively address what has become a commonly asked question of late and that is how do changing exchange rates impact our business?

Firstly, we contract the maturity of our business in euro and we have not changed our pricing as a result of currency fluctuations. Therefore, the recent strengthening of the U.S. dollar but also the relative strength of Asian currencies have a positive effect on our customers’ capital spending compared to their original budget. We also have some of our service business including our final light source business quoted in foreign currencies and for that portion of our business; we have a positive translation effect in our P&L.

Secondly, we do originate a substantial part of our operating spending from the United States where we employ approximately 3,100 professionals in development, field service and manufacturing of sources and parts for NXT and NXE systems. This spending weighs in heavier in our P&L when translated into Euros. Net-net and despite our hedging programs, the disadvantage from our foreign currency base spend is larger than the advantage from our non-euro denominated sales. For the first quarter, the unfavorable impact on our net margin was less than one percentage point.

Finally, as an update on our capital return program. Next week at our 2015 annual general shareholders meeting, shareholders will vote on our proposal to increase our dividend for ordinary share for 2014 by 15% to €0.70 per share. As to our 2015-2016 buyback program announced in Q1, we saw the first repurchase of totaling €129 million for the quarter.

Now with that, I’d like to turn the call back over to Peter.

Peter Wennink

Thank you, Wolfgang. And as Wolfgang highlighted, the expectation that our strong second half of last year would continue into the first half of this year has been confirmed by our first quarter results and our second quarter guidance which is supported by continued memory spend, significant increase in the logic sector as compared to last year.

We expect that our shipments to the DRAM sector will be healthy in 2015 and show an increase as compared to 2014. However, with shipment strength continuing in Q2, we expect that 2015 sales to DRAM will be weighted to the first half and limited litho tool purchases will support continued NAND planar shrinks this year. And although all NAND players have 3D NAND process development programs underway, likely bringing samples to the market in the second half of this year, we do not expect significant volume capacity additions in 2015.

We continue to see the logic sector working essentially on three lithography nodes at the same time. Capacity additions continue at some foundries at the 28-nanometer node but at the same time, we see some customers converting 28-nanometer capacity to 16, 14-nanometer capacity, driven by our capability to upgrade tools from node-to-node. And this is helping our customers to manage capital efficiency at the most advanced nodes.

The 16, 14-nanometer node is moving into volume at all leading foundries while most advanced foundries are also fully engaged in process development at the 10-nanometer node. Meanwhile, MPU [ph] microprocessors is starting the transition to the 10-nanometer node in this calendar year. And therefore, we see logic shipments as relatively stable quarter-on-quarter through the year across the combination of the 28-nanometer, 16, 14 and 10-nanometer node.

Touching on a couple of things mentioned by Wolfgang, we are seeing an increase in demand for our holistic lithography products, as well as our field upgrades. Given that the current advanced logic processes are driving increased multiple patterning, the requirement for tighter system overlay or alignment is increasing substantially. This in turn is driving our process control product sales on things like our YieldStar metrology products and associated process management software, as well as overlay and focus and household products for our NXT:1970 product. On top of this, we have seen first orders for our next generation TWINSCAN NXT:1980 supporting next node requirements.

As mentioned earlier, our customers are increasingly confronted with higher capital requirements in semiconductor manufacturing, forcing them to focus on improving capital efficiency. And we think we are uniquely positioned to help our customers in execution on the capital efficiency programs by providing lithography system node enhancement packages supporting each customer’s node migrations through significant system upgrades. This in turn provides us with an additional sales stream next to new system sales opportunities.

Regarding EUV, we continue to demonstrate real progress against our targets in source power and system availability which are the two key components of our wafer per day program. One customer has already demonstrated wafer per day performance at the level of our 2015 target of 1,000 wafers per day. And we are now in the process of upgrading several systems to an 80-watt configuration in order to demonstrate similar wafer per day performance across the EUV install base. The first two orders for our first -- for our fourth generation NXE:3350 EUV tools are in our backlog. Output planning has the first system shipping mid-year with a new high-power drive laser system and in-situ collector cleaning capability. Meanwhile, we are having extensive discussions and making good progress with several customers on the sale of additional systems in 2015 and beyond.

The EUV adoption curve will be driven by the continued progress we will make on the key EUV performance metrics. The 2015 target levels of 1,000 wafers per day, a minimum of 70% availability and the synchronization to our customers’ road maps which are different per customer combined with the customer confidence in us reaching the 2016 performance targets will drive EUV demand for the next couple of years. In order to deal with this demand, we are developing a production plan for 2016 of one EUV system per month supporting a ramp-up to a 24-system manufacturing plan for 2017 and doubling that again in 2018.

Now with that, we would be happy to take your questions.

Craig DeYoung

Thanks Peter. Ladies and gentlemen, the operator will instruct you momentarily on a protocol for the Q&A session. Beforehand, I’d like to ask as I always do to have you kindly limit your question to one question with one short follow-up if necessary. And this will allow us to get in as many callers in as possible.

Now, Peter, could we have your instructions and then the first question please?

Question-and-Answer Session

Operator

Of course Mr. DeYoung, thank you. Ladies and gentlemen, at this time we’ll begin the question-and-answer session. [Operator Instructions]. The first question is coming from Mr. Kai Korschelt. Please state your company name followed by your question.

Kai Korschelt

Hi, it’s Merrill Lynch. I had a couple, on memory. The first one, could you give us an update on the 20-nanometer conversion? From industry perspective, it looks like that’s benefited you for a while. So I just wanted to ask which inning you thought we are here? And then the second question is some of your memory customers have announced new fabs or new factories to get built. Roughly, when would you expect to benefit from the deployment of equipment? Thank you.

Peter Wennink

Okay. On the 20-nanometer conversion, at the low 20-nanometer absent full moving, we’re moving towards the end of the year to the lower nodes that’s end of the year, early 2016 to what I would say just under the 20-nanometer node. So, this is by the way has been the main driver, the 20-nanometer node for our shipments over the last couple of quarters.

New fabs: Yes, the new fabs, we have two fabs in Korea, one fab opened last year, another will open in the middle of this year. So shipments clearly in Q1 and Q2 are for the fab that over the last year and the second half of the year and part of the orders that we have received in Q1 are for shipments to and 14 which is a factory of one of our customer Hynix. And so throughout the year, we will be shipping tools to those new fabs.

Craig DeYoung

Let’s go on I think.

Peter Wennink

Okay.

Kai Korschelt

Thank you. I was actually wondering about -- through the new fabs actually now more looking into next year, I mean whether you have any visibility on those yet?

Peter Wennink

Well, we have the same visibility as you have as the public announcements of those extensions. As we all know, Japan has been work on a NAND extension, has been an extension being worked on in Singapore, but those are too far away to reflect in our order book today. But those are clearly policies and that’s good news.

Kai Korschelt

Okay. Thank you.

Operator

The next question, Mr. C.J. Muse, please state your company name followed by your question.

C.J. Muse

I guess first question. You highlighted initial order at the 10-nanometer node from foundries. Curious based on that, what you can read in terms of litho intensity there versus 2016 and 2014?

Peter Wennink

Yes. The node-to-node litho intensity is about 40%, 40% to 50% and that also means that you will see also at that 10-nanometer node, customers focusing on what I referred to in the introductory statements that how much of the installed base can be reused or can be upgraded to the next node. So, part of that litho intensity will be covered by new system sales, another part will be covered by I would say upgrade of an installed base from one node to the other which in fact for us is pretty good business, so all-in-all, about 40% to 50% higher litho intensity node-from-node.

C.J. Muse

Okay, great. And I guess as my follow up, as you think about mix in the back half of the year being more levered to logic versus memory all else equal, plus your service and spares business moving higher throughout the year. I’m curious if you could talk about the impact of that higher margin service spares, plus presumably higher ASPs particularly on the immersion front and what that will do for your gross margins through the year?

Peter Wennink

Well ,we’re not going to give you gross margin guidance for the remainder of the year, but it is true that the leading edge logic shipments have a richer configuration, which has more holistic lithography options included, which would provide somewhat higher margin and also margin of the service and system upgrade business is also healthy and is -- especially where it concerns software, of course higher than the corporate average margin. So, I think the margin profile favors clearly high end logic shipments and also favors some of these software packages that we are shipping but too early to give you detailed margin guidance.

C.J. Muse

Okay, great. Thank you.

Operator

The next question, Mr. Amit Harchandani. Please state your company name followed by your question.

Amit Harchandani

Amit Harchandani from Citigroup. Thanks for taking my questions, two if I may, centered around EUV. Firstly, could you may be give us a little more clarity or little more insight into the kind of discussions that you are having with your customers with regards to production orders kind of enquires you get, whether they are across sectors or limited to only logic?

And secondly, as a follow-up, you’ve talked about they are potentially being requirement wherein even below 1,500 wafers per day would be enough to drive insertion of EUV into full time production. May be if you could clarify little more on that. Do you see more of such applications emerging over time and how should we think about insertion in production? Thank you.

Peter Wennink

On the first question, whether I can give you some more detail on the discussions with the customers, unfortunately I cannot do that. But I can tell you it’s across sector, it’s not only logic. So, we are engaging with every major customer in deep discussions about the insertion point of EUV and about the potential orders. So it’s definitely not only logic space. Although if we would refer to one of the slides in our slide deck, it is true that logic in terms of pilot production is the first to put EUV into pilot production and memory could follow one and you could argue for a NAND two to three years later but logic is definitely starting but we’re engaging in discussions with customers across all sectors.

On the 1,500 wafers per day, when you think about the cost per wafer, cost per function, cost per bit how you want to call it, we have always looked at the cost as a function of productivity. As you know, in our immersion products, we’re driving productivity up, so the cost per wafer cost per bit or cost impression goes down.

Now, next to that on EUV, there are other customer benefits. It’s particularly the reduction of the process complexity, the increased design flexibility but also the shorter cycle times, which is a big issue. If you go to multiple patterning, you have some critical layers seven to nine or even 10 passes which you can relate this with the much more number of passes where you use EUVs, a massive impact on your cycle time. That means that there are other economic drivers that will force customers to very, very seriously look into the application of EUV. And that is and why for certain customers depending on their roadmap and depending on their design complexity, 1,500 wafers per day is kind of a general statement but for some of them, it would be lower.

Operator

The next question Mr. Sandeep Deshpande, please state your company name followed by your question.

Sandeep Deshpande

Hi, Sandeep Deshpande from JP Morgan. My first question is regarding EUV as well. I mean Peter you’re upgrading some customers to the 80-watt laser at the moment. Would you say at this point -- I mean based on what you’ve announced on EUV, your EUV program seems to be running ahead of what you had previously guided the market to. So, would you be saying that at this point that you’re much, much more confident that EUV is now going to be production worthy by the end of this year for the 10-nanometer node next year? And secondly, some of your customers are doing 10 nanometers without using EUV initially. How do you see a lithography intensity increasing for that node given that it will require not double patterning but multilayer patterning to be able to achieve that and are you going to see orders for that this year or next year? Thanks.

Peter Wennink

So, it is -- last question that you asked, is that focused on the 10-nanometer question?

Sandeep Deshpande

Yes, that’s 10 nanometers.

Peter Wennink

Yes, to say, the watt [ph] upgrades, we are rolling that out over the install base because that’s a promise to our customers. And actually more than 1,000 wafers per day achievement was done on the 80-watt configuration. And we would like to give every customer access to that capability. Having said that, the tool of customers are focusing on to put into production to 3350 because the 3350 with the higher power drive laser and the in-situ cleaning capability has -- will give our customers the ability to output more wafers and to have a higher availability or a higher uptime. And this is what of course you can put the machines into production is what they really want.

So, the 3350, if you can do, you could argue if you can do a 1,000 wafers a day on the 3300, then you should be able to do it as well on the 3350 and this is where our confidence that we will reach our 2015 target is of course based on the 3300 achievement and our ability to at least copy that onto the 3350 but to the next layer of performance on top of that. So this is why we feel confident and this is also why the intensity with which we’re talking to customers has gone up.

Now on the 10-nanometer node, the logic node, largely I think the default solutions that our customers have in their production plans is on multiple patterning. And the late insertion in 10-nanometer of EUV will be driven by our ability to show them that the 3350 is indeed a pilot production worthy tool. And as the first part of my answer, I think our confidence has gone up based on the performance of the 3300 but the default solution is multiple patterning. We have to realize that those designs were finished some time ago. I mean when you go into pilot production at the end of the year, it means that those design decisions were at the end of last year. And at the end of last year, we were not current; we were not yet at the EUV performance levels that we are seeing today.

So that means that [ph] default starts with immersion. And one of the earlier callers asked the question how much more litho intensity will it give, well between 40% and 50% which part of that requirement will be filled in by our upgrade business.

Operator

The next question, Mr. Jérôme Ramel; please state your company name followed by your question.

Jérôme Ramel

Jérôme Ramel from Exane BNP Paribas; thanks for taking my question. Question Peter, how big do you think the 15/14 nanometer node will be in term of wafers per month?

Peter Wennink

Yes, that’s a very interesting question. I tried to make that clear in the introductory statements. We are in a pretty unique situation. Since I’ve been with the company, I have never seen a situation whereby we are shipping to our logic customers, foundry customers, potentially our logic customers effectively in three nodes. I’ve always seen that one node ends and the other node starts and there you see all shipments moving from one node that aims to the next node. And that was much easier to say, everything that we’re shipping is obviously for that next node. What we’re currently seeing is we’re seeing shipments of 28-nanometer node, which we’re seeing capacity being taken out of 28-nanometer, being reused in 14 and 16 nanometers FinFET and we’re seeing 10-nanometer capacity build up.

First, it is a pretty opaque picture to give you sense. So, it’s very difficult for us to say how much installed capacity are we shipping with one node. Because of the reuse capability, the fact that we have three nodes now shipping at the same time, I don’t dare to give you a specific installed rate for wafer out per month capacity. I am sorry about that, but it is what it is. It’s a bit convoluted. But the only thing that we do see is that we have stable logic business over the three nodes and that with the intensity and the need for give you the immersion leading edge solutions is going up because of the higher litho intensity. So, difficult to answer your question, so I am not going to guess. But only thing I am going to say that logic business is strong, healthy and stable.

Jérôme Ramel

Okay. Thank you very much.

Operator

The next question, Mr. Mahesh Sanganeria; please state your company name followed by your question.

Mahesh Sanganeria

It’s RBC Capital Markets. So, the question on the memory side, you have talked about first half being stronger and second, later half tapering little bit. Can you give us a sense of how the -- qualitatively, how the distribution, is it in terms of DRAM versus NAND in the first half and the second half?

Peter Wennink

Yes. I can, it’s weighted towards DRAM, both first half and the second half.

Mahesh Sanganeria

Okay. And then question on EUV. You talked about shipping the high power laser and does this new high power laser, does that take you to 100-watt operation or beyond that or if it’s taking you to 100-watt operations, what do you need to change to go to 200-watt operations?

Peter Wennink

The high power laser takes us to 125 watts. And it’s in principal the architecture to take us to 250…

Mahesh Sanganeria

Okay. Thank you.

Operator

The next question, Mr. Tim Arcuri; please state your company name followed by your question.

Tim Arcuri

Cowen & Company, thank you. Couple of things, first of all, Wolfgang, I guess I have a question on the second half revenue. I know you don’t want to guide it but as DRAM down, you are saying that there is not a lot of NAND business and logic is pretty stable. I understand that service will be up may be $75 million on a quarterly basis exiting the year versus where is now or even more than that. But does that overall paint a picture that second half revenues down versus the first half of the year or is that not the revenue?

Wolfgang Nickl

A little bit difficult, Tim because I mean answering this question without going into a number that’s why we decided to do it qualitatively. When we use the word tapering, so it’s going down somewhat that’s my definition. Logic is stable as we said. And it was quite a nice increase in the upgrade and builders business. I mean we said we were going to exit at 500 million run rate and then of course it also depends on how the final orders come in for the year. I mean we don’t have the visibility and the clarity on how exactly Q2 will look like and Q3 would look like, otherwise we would guide but second half could be a little bit weaker than the first half I think is what you can conclude.

Peter Wennink

Yes. And it won’t be -- it’s not going to be as you call it, you would say it looks like a drop off. That’s absolutely not the case, so it’s why Wolfgang said, it’s probably tapering.

Tim Arcuri

Okay, thanks Peter. I guess the second question and then I have another follow-up after that. But just on DRAM, seems like there is some change in turn there last quarter, you were pretty positive, everyone was expanding rate versus now the message is that the sort of incremental wafer supply is going to be met by which shifts in the first half. Is this the right leading -- can you sort of give us a little more color that in terms of what the tone is from the DRAM; guide has there been a market change in turn?

Peter Wennink

No, I don’t think so. I think they’re still very confident. It’s just a matter of timing when they put their capacity in place like we -- one of the questions that were asked earlier, it is -- what fabs are taking tools. I think the fabs are clearly taking tools. There is capacity that to take tools in Taiwan and in Japan particularly. So, that will be used. I think it’s a matter of timing more. So when you look at 2015 and you just chop the entire ramp up in calendar years, then the only thing that we are saying is that in a calendar year, there is more shipments in the first-half than in the second half on DRAM customers. But it is not as far as -- when we look at our customers, absolutely not an indication that our customers feel that the business is weakening and that’s why they need less capacity. It’s just a matter of timing of when they ramp their fabs and it’s the combination of those four potentials in Taiwan and Japan and two in Korea, how that ramp us is scheduled; it’s not more than that.

Tim Arcuri

Maybe if I can just squeeze one more, quick one on EUV. You had guided previously you’d shipped 10 systems this year; now it sounds like you’ve added up on the slide shipping like between 8 and 10 and the change will be around three systems but we’re going to be 3350s converted from 3300s. Why has that changed given that the progress on EUV is clearly better than at this time last quarter? Thanks.

Peter Wennink

Well, it is because the progress has been better than we thought. And you’ve to think about this way. You have the 3300 and then we did -- we could not show the 3300 results that we’ve shown in the last quarter when we -- one of the customers said now we can do 1,000 wafers per day on the 3300. Before that time, customers were looking at if we want the capability to do 1,000 wafers per day we need to go to 3350. So could you please wait with the 3300 shipment upgrade to 3350 in order to give us that capability? Now you can understand that having an 80-watt configuration for 3300 and doing 1,000 wafers per day that some customers are stretching there and said shouldn’t we then take the 3300, start using it at 80 watts instead of waiting and then getting a shipment of the 3350 later. And it’s always matter of when are we going to do what with respect to EUV.

So, you could basically say as a result of the progress, we have now this situation where some customers are rethinking their original plan of the 3350 upgrade and still -- and actually wanted to ship it earlier.

Operator

The next question, Mr. Farhan Ahmad; please state your company name followed by your question.

Farhan Ahmad

Thanks for taking my question. This is Farhan asking the question from Credit Suisse. My first question is on the reuse. Peter, you mentioned that reuse is much higher on the 14-nanometer; you have not seen it previously and even on 10-nanometer you’re going to see it. How does that change fundamentally your long-term business model? And will we be able to get to the direct 2020, the new targets if we’re having such high EUVs? And also if you could shed some light on like just the 14-nanometer, 16-nanometer node, what percentage of the capacity was actually coming from reuse versus new capacity additions that would be really helpful.

Peter Wennink

We don’t think it’s the long-term business model impact is there because we actually included this in our model and we modeled it. And we showed you at the Analyst Day by 2020 model and we showed you the new systems that assume a certain percentage of reuse and actually that is what we are seeing today. So, it is going to be an integral part of our business model going forward, but it doesn’t change the long-term business model.

So, you said the 14, 16-nanomater as a percentage of the capacity reuse, difficult to say; it’s customer-by-customer different. Some customers that have, let’s say large production of limited products, they would probably do this sooner and more than very large foundries that have multiple customers, dozens of customers that are actually still requiring capacity for instance on the 28-nanometer node to stay in place because they are upgrading their 45 and 65-nanometer products to 28. So, it’s a bit different per customer. So I don’t think you can give as a standard percentage. But in general, you could say those foundries with large volumes and I’d say smaller quantity of type of products, they would do this earlier and sooner than foundries with a lot of customers.

Farhan Ahmad

And following up on Sandeep’s question earlier, 10-nanometer was initially started with multi-patterning and there was late insertion on 10-nanometer with EUV. As you look at the 7-nanometer node, I believe the decision on 7-nanometer would be later this year. What are your expectations; will it be started initially with EUV or multi-patterning? And also like at SPIE conference, it appeared that for 7-nanometer node, if you want to do multi-patterning, the overlay and the etch placement are a big issue and do you think like from a lithography perspective, is immersion able to handle all the needs or is it going to be like either it’s EUV or we can’t do it?

Peter Wennink

Ultimately, that call is with the customer. We have an internal view that we believe that EUV should be the technology of choice, very clearly. Customers will tell you that in the absence of a fully transaction worthy EUV as we see it today because we are too early, for that default solution is always going to be looking at what they know today, which is immersion multiple happening. And that’s what every customer will tell you, every logic customer will tell you that we will look at that. But at the same time also at SPIE customers made the public statements, default we we’re going there because we’re going for developing immersion because this is what we know. But when EUV is available, we really use EUV. So, it all comes down to the production worthiness, i.e. the percentage availability of the tool that is going to drive the decision for EUV. When it is there with the right level of availability and right level of reliability we are going to use EUV; there is no doubt in our mind. And the fact that they are talking about two strategies simply got to do with the fact that nobody can point at an EUV production worthy tool at 85% availability doing 1,500 wafers a day because it’s not there yet.

So, this is how you need to look at that. And when you go into 7-nanomenter, the last comment, we all understand and you pointed to it also that 7-nanometer multiple-patterning immersion is a web of pain and that customers are trying to avoid that.

Farhan Ahmad

Got it. Thank you. That’s helpful.

Operator

The next question, Mr. Gareth Jenkins; please state your company name followed by your question.

Gareth Jenkins

Yes, it’s UBS; just a couple of if I could please, gentlemen. I think this morning Wolfgang, you mentioned improving utilization front. So, just wondering on the immersion side of the things whether you could give a sense of cap in times or your cycle times currently? And then just secondly on R&D, I just want to know whether you still see it phasing down through the course of this year in a fairly progressive manner? Thank you.

Wolfgang Nickl

Yes. On capacity utilization, we obviously had more volume in Q1 and then Q4 that helped us in general, but we are also making pretty good progress in general and in shortening our cycle time in the factory. In terms of -- the second question was on R&D?

Gareth Jenkins

Yes. That’s right.

Wolfgang Nickl

Yes, R&D, we pretty came in line with our guidance, it’s like 261. We have obviously four actually four sides where we incur R&D expenses in the U.S., in San Diego of course in Holistic Lithography in Santa Clara and with a center in Chandler and then we have a big base in Wilton, Connecticut. And we have literally hundreds and hundreds of R&D people there. And there we do have an FX impact, like I said in my prepared remark, not fully offset for the total company by positive effects from the sale in the U.S. dollar. But I would just suggest there that you model it someone the 265ish range for the remainder of the year. We are committed to get to the 13% of revenue in terms of the long-term model by 2020. But you will also understand that in this time, we’re firing and also in those in EUV, Holistic and DUV extension that we are not going to run the risk to cut the broke one prematurely just to make an official number to offset an FX risk.

Gareth Jenkins

Thanks.

Wolfgang Nickl

Sure.

Operator

The next question, Ms. [indiscernible]. Please state your company name followed by your question.

Unidentified Analyst

Hi. It’s Jeff [ph] speaking at Bernstein. I think there probably been a mix-up of names. Thank you for taking my question. Peter, I’d like to come back to the two points you made on the point of three nodes being run at the same time at moment and it’s a really something new for you guys and the impact of shipment on reuse of 10-nanometer on nodes. So, there is two way I kind of think but one is 40-nanometer node is reusing the 20-nanometer node at the moment and it’s fairly practical; it’s because maybe 20-nanometer is a disappointment senior node for the clients, such as clients. And if you consider of 20, 16 and 14, I think it is the same lithography node; it’s almost an uneven. But then if we start seeing a lot of reuse between 20, 16 and 14 and 10, then it would mean that 20, 16, 14 node would be phasing down faster than lagging node have been phasing down in the past and that could in the long term have some effect on demand for lithography. So what -- how do you think about that? Do you see risk that going forward higher rate of reuse between nodes means that the total capacity of the industry going to grow at a slower pace with the N minus 1 node phasing down faster than what we’ve seen in the past?

Peter Wennink

Yes, a couple of comments. You assume that the reuse on the 14 and the 16-nanometer is out of 20, it’s not out of 20; it’s out of 28. And we do that by the 28-nanometer to upgrade those tools to what we call a system node enhancement package sale. So, it could be big sales, could be €10 million, €20 million apiece. So, those are almost open heart surgeries in the field. But that of course is cheaper than buying a new tool €50 million.

So, what you will see and I think I have to refer back to a previous comment. I think when you look at the customers that are doing this, customers that are having large volumes of a limited number of products, where those limited number of products are really products that go from node-to-node. That means that the current node of a limited number of products but a large volume, moves on to a next generation which means that it almost obsoletes the current node when you go to N+1. And that’s also why basically the capacity follows that. So the reuse of that capacity, which is in fact what happens in the industry for a very long time in microprocessors. It’s a -- that’s a well known fact. And that’s what I say; it’s a limited number of products, very large volume.

So in that sense, you are seeing also I think in the foundry industries some very large products, large volume, where the same logic that economic logic applies as it applies or actually has applied for a very long time in the microprocessor world. So, I don’t think it has a major impact in that sense, other than you could argue that if everything would be new, then you would sell 40% more new tools. But A, you should realize that Moore’s law is an empirical law of economics. So, it is also how do you deal with capital efficiency and I think this reuse option is a way of customers to control their cost of the additional capacity that they need because of the higher litho -- of the higher intensity in the lithography systems. So, it’s a logical way of customers dealing with the node-to-node transition for high volumes whereby you have a limited number of products. And it’s basically akin what we’ve seen in the microprocessor business.

Unidentified Analyst

And a very quick follow-up on what you’ve been discussing for the second half. I assume that when we talk -- when you talk about like stable business in foundries between the first and the second half, you’re excluding from the picture EUV, or are you taking into account, are you making an assumption on what you’re going to recognize in terms of EUV revenues in the second half?

Peter Wennink

You are correct, that was a non-EUV statement.

Operator

The next question, Mr. Francois Meunier; please state your company name, followed by your question.

Andrew Humphrey

Hi, it’s Andrew Humphrey here for Francois from Morgan Stanley. Just one question on the comments you are making on 2015 for EUV. I think those are consistent with what you’ve said before in terms of having the capacity to ship one EUV machine a month next year and two EUV machines a month year after that. But you sounded a little bit firmer in terms of there actually being demand for those machines, i.e. potentially from customers moving beyond the testing phase. So could you just talk a little more about what the sensitivities are around that; what the potential upsides and downsides could be for 2016?

Peter Wennink

Yes, I think what you will see, it all hinges on and I said it also in my introductory statements that when we zoom in on our targets of reaching the overall target of a 1,000 wafers per day, zooming in on the 1,500 wafers per day next year, reaching to 70% availability this year, go to the 85% availability next year, that progress, I think that progress will increase the customer confidence. Now, we are planning for a success. This actually means that we think we will reach those targets. We also think that when we reach those targets, there’s not going to be one, there’s going to be multiple customers that are going to say, then in 2016 we need to start at least shipping tools for the start of the final production, only 2016 because those tool installation times and ramp-up times are quite long, but it will have an effect on 2017 in the customer fabs.

And this is why we have that production capability there. You could say, Peter, show me the orders for 2016; I’ll be able to say, you’re right at the end of the day. But when you said Peter, show us the progress that we’ve made, then we have made a lot of progress and there is a lot of confidence that we have that by that time, it is 2016 and we’re one year or one year and a quarter down the road that we can show some tangible progress which will give customers the confidence that they have to do this. That’s why the production capacity is there.

Andrew Humphrey

Great. Thanks very much.

Operator

The next question, Mr. Patrick Ho; please state your company name followed by your question.

Patrick Ho

Thank you very much, Stifel Nicolaus First question on EUV, with the progress you have made, can you just give a little bit color on some of the echo system areas, particularly on the mask inspection side? How you believe that could potentially impact, I guess the production-worthy capabilities for the industry, given that they also need to be ready even if you are ready on the system side?

Peter Wennink

Yes, that’s a good question. I think this has been a subject of the debate of the last couple of quarters. On mask inspection particularly, I think one of the most significant piece of news that came out of SPIE was the fact that we announced that we have a work in product type of what we call a removable pellicle. And a pellicle is in fact a membrane that sits in front of the photomask. And in fact what the membrane does, it stops particles getting onto the photomask because when they get on to a photomask, which is reflective photomask, they will print. So, you don’t want that. So the fact that we have now shown that that capability is there and we can remove the pellicle and put it back on, it means that you can remove the pellicle, inspect the photomask with traditional inspection tools and then put the pellicle back, gives our customers, at least that’s also what they said at SPIE, as a lot of confidence that they can do without what you call an actinic inspection tool, which is an inspection tool that uses the same wavelength which is basically an EUV mask inspection tool which of course is not there.

So that takes away that need. Now, you could -- there are some customers that are saying well for some very critical layers, we obviously like to have it. So we are down on the line and that’s still a debate. We believe that we can show going forward that this removable pellicle is good enough for customers to get confidence that they can work with the defect-free mask. So, this is where we are. I think this is a big step forward. And like I said, it removes the need for an actinic inspection tool this decade. And that’s like customers can move on with EUV.

Now on photoresist, there is also progress made on that point that is logical. Photoresist companies are not companies that are living on very high margin, so they always wait until the exposure tool is ready and all the parts of the infrastructure are there and they are there today. So, we also see since we have made progress with EUV, resist companies definitely stepping up in their R&D effort and coming up with photoresist EUV, photoresist solutions that were not available six months ago. And we’re making very good progress there.

So, on the infrastructure, I don’t think they’re showstoppers. That is -- I could have started with this, so my answer would be a bit shorter but just to give you some detailed information.

Patrick Ho

Right. That’s helpful. Maybe just as a quick follow up on the industry front, you’ve talked about reuse, particularly on the foundry side of things. How much reuse of litho do you believe the NAND flash industry will see as it transitions to 3D NAND from planar?

Peter Wennink

For a simple reason that when you talk about NAND flash or non volatile memory, the different memory types, 2D which is planar or 3D NAND -- Somebody is interfering. Let me repeat what I said. There is going to be 2D devices, planar devices. So, living next to 3D devices, living next to what they called the next generation memory or a cross point or re-RAM sort of going to be in the memory space, I said on previous calls also. The diversity in non-volatile memory devices is going up and that means that the real stage -- there is very long life for planar, for 3D NAND and for new type memory and that means that the export capacity will stay there; it’s not going to be reused; it’s just going to grow. Because 2D planar devices work well, serve another and at different applications than a 3D device than cross point the device. So, this is not applicable, reuse is not applicable here in the NAND business.

Patrick Ho

Thank you.

Craig DeYoung

I’m going to break in here ladies and gentlemen; I think we have time for one last question. As always if you are unable to get through with the question and feel a need to talk to anybody, the IR team is available this evening and tomorrow. So, please give us a call. Now, with that Peter, can we have the last question?

Operator

Of course. The last question is coming from Mr. Weston Twigg. Please state your company name followed by your question.

Weston Twigg

Hi, thanks. Wes Twigg from Pacific Crest. Thanks for fitting me in. First on foundry bookings; it looks like they dropped a lot in Q1 and you’re talking about confidence in having stable demand from foundries. So just wondering if maybe you’re seeing orders picking up this quarter or what that gives you that confidence? And then secondly, my second question would be just on the stock buyback program, why not bit more aggressive so far?

Wolfgang Nickl

So, let me take this. So on the bookings, we were not disappointed; we were actually quite satisfied with our overall backlog. We said it in the remarks, it’s very balanced. I think it’s always important to also note that we do have volumes purchasing agreements with all of our customers which actually make the act of placing an order almost in administrative act. So, we have this ability beyond the bookings in the backlog. So, we were not disappointed and we are as a matter of fact quite happy with the backlog and feel confident in our statement that we have a stable business.

Stock buyback, we have a pretty time proven policy of systematically giving back what we have available on top of minimum required cash balance; we want to go to the dividend first and the rest of used for stock buybacks. You saw us ending at 2.8 billion, not 2.5 billion, which is our stated objective. But that’s really in preparation for the dividend that’s payable in April. So, we are executing the program that we announced last quarter and that again will put over 1 billion or around 1 billion in stock buybacks for the next two years.

Craig DeYoung

So, thanks for joining the call. And on behalf of the ASML Board of Management, I’d like to thank everybody for joining today. And with that Peter, if you could formally conclude the call, we’d appreciate it. Thank you.

Operator

Of course, Mr. DeYoung. Ladies and gentlemen, this concludes the ASML first quarter 2015 results conference call. Thank you for participating. You may now disconnect.