ASML Holding's (ASML) CEO Peter Wennink on Q2 2014 Results - Earnings Call Transcript

July 16, 2014

ASML Holding NV (NASDAQ:ASML) Q2 2014 Earnings Conference Call July 16, 2014 9:00 AM ET

Executives

Craig DeYoung - Vice President, Investor Relations
Peter Wennink - Chief Executive Officer
Wolfgang Nickl - Chief Financial Officer

Analysts

John Pitzer - Credit Suisse
Francois Meunier - Morgan Stanley
Timothy Arcuri - Cowen & Co.
Sandeep Deshpande - JPMorgan
Srini Sundar - Summit Research
Gareth Jenkins - UBS
Stephane Houri - Natixis
CJ Muse - ISI Group
Andrew Gardiner - Barclays
Mehdi Hosseini - SIG
Mahesh Sanganeria - RBC Capital Markets

Operator

Ladies and gentlemen, thank you for standing by and welcome to the ASML Second Quarter Results Conference Call on July 16, 2014. Throughout today’s introduction, all participants will be in a listen-only mode. After ASML’s introduction, there will be an opportunity to ask questions. (Operator Instructions)

I would now like to turn the conference over to Mr. Craig DeYoung. Please go ahead, sir.

Craig DeYoung - Vice President, Investor Relations

Thank you, Sorilla, and good afternoon and good morning, ladies and gentlemen. This is Craig DeYoung, Vice President, Investor Relations at ASML.

Joining me today from our headquarters here in Veldhoven in the Netherlands is ASML’s CEO, Peter Wennink and our CFO, Wolfgang Nickl. The subject of today’s call is ASML’s second quarter 2014 results. The call is also being broadcast live over the Internet at www.asml.com and you can find a replay of the call there for another approximately 90 days.

Before we begin, I would like to caution listeners that comments made by management during the conference call will include forward-looking statements within the meaning of the federal securities laws. These forward-looking statements involve material risks and uncertainties. For a discussion of risk factors, I encourage you to review the Safe Harbor statement contained in today’s press release and presentation found on our website at asml.com and in ASML’s Annual Report on Form 20-F and other documents as filed with the Securities and Exchange Commission. The length of the call will be 60 minutes.

And now, I’d like to turn it over to Peter Wennink for a brief introduction.

Peter Wennink - Chief Executive Officer

Thank you, Craig. Good afternoon, good morning, ladies and gentlemen, and thank you for attending our second quarter 2014 results conference call.

Before we begin the question-and-answer session, Wolfgang and I would like to provide an overview and some commentary on our second quarter and provide our views on the coming quarters. Wolfgang will start with a review of our second quarter financial performance with added comments on our short-term outlook. And I will complete the introduction with some further comments on the general current business environment in which we are working and our future business outlook. Wolfgang, if you will?

Wolfgang Nickl - Chief Financial Officer

Thank you, Peter and welcome everyone. Our Q2 revenue was in line with our guidance of €1.6 billion and was largely driven again by sales to our memory customers, which represented about one half of our systems revenue. As anticipated, we recognized revenue for one EUV system during the quarter. The ASPs of all tools sold increased dramatically from €26 million last quarter to €40 million this quarter reflecting strong demand for our most advanced immersion tools combined with leading-edge holistic lithography solutions in both the memory and the logic markets.

Service and field option sales grew by about 10% quarter-over-quarter to €401 million. Gross margin came in above guidance at 45.7% positively impacted by favorable customer sector and product mix and a higher contribution of service and field option product. With R&D in line with our estimates and SG&A slightly better than our estimates, gross margin was the main driver that enabled our quarterly earnings to exceed Street expectations.

Regarding our balance sheet, we ended Q2 with approximately €2.7 billion in cash, cash equivalents and short-term investments. During Q2, we bought back 2.6 million shares for a total of €164 million. From the beginning of 2013 through the end of Q2, we have purchased 9.5 million shares for a total of €611 million leaving approximately €390 million under our current buyback program to be executed in the second half of 2014. On May 13, we paid our shareholders a record dividend of €0.61 per ordinary share for a total of €268 million.

Turning to our order book, system bookings in Q2 were roughly equivalent to bookings in Q1 leaving our order backlog at the end of Q2 at approximately €1.8 billion, excluding EUV. With that, I would like to discuss our expectations for Q3 and for Q4.

Let me start with a view of the markets we serve. Both year-to-date revenue and last quarter’s bookings demonstrate continued strength in memory. We expect memory strength to continue throughout the second half of the year driven by planar NAND and DRAM. In IDM we expect strong year-over-year revenue growth. 2014 year-to-date IDM revenue already exceeds the prior year’s total revenue and we have solid backlog for the second half of the year. In foundry we are experiencing upside demand for 28-nanometer capacity, but slower than anticipated ramp of the 16-nanometer and 14-nanometer nodes. We start growing foundry sales in Q2 versus Q1 and our June ending backlog is moderately higher than the quarter before. However, although the industry’s view of an eventual 3000 wafer starts per month in total for 20-nanometer and 16-nanometer and 14-nanometer combined node capacity remains intact. We see widely different behavior of our foundry customers as they are evaluating the timing of the capacity ramps.

Some are currently adding leading-edge lithography equipment in support of capacity installations some are digesting already delivered equipment while others appear to be reconsidering advanced node ramp plan. In addition, we have some customers with EUV orders in place requesting that we upgrade their NXE:3300 to production specified NXE:3350 before shipment which will require rescheduling of deliveries into 2015. As a result of an overall weakening of near-term foundry demand for the 16-nanometer and 14-nanometer FinFET node ramp and with the upgrade plan and associated reschedule of delivery of three NXE:3300s, we maintain our prior Q3 guidance of about €1.4 billion and now see a total year revenue of about €5.6 billion including five EUV systems.

Our long-term view on semiconductor demand remains unchanged. We expect gross margin for Q3 to be around 42% including two EUV systems. We are seeing a bit of near-term variability in gross margin not only due to the recognizable between product mix, but also the likely impact of between sector mix. As the more highly configured foundry immersion tools for example will carry a higher value than the more lightly configured memory tools. Over the longer term however, we remain confident that the gross margin trend will continue upward. Strength in service and field options revenues will help support the strength. R&D expense for the third quarter will be about €260 million. Other income was contributions from participants of the customer co-investment program will be about €20 million. For SG&A we expect approximately €80 million for the third quarter.

With that, I would like to turn it back to Peter.

Peter Wennink - Chief Executive Officer

Thank you, Wolfgang. I would like to take a brief moment to review the status of the three trends that we also discussed in last quarter. Wolfgang has highlighted the current landscape and near-term uncertainty in timing of leading-edge capacity additions in the foundry space. Such uncertainties are not uncommon at the beginning of new complex and challenging nodes transitions especially since these transitions over the last four years have been the most aggressive in history. These uncertainties might be exaggerated by the current competitive environment and by a consolidating foundry customer base at advanced nodes which brings a specific and relatively new dynamic to this industry segment.

We have witnessed the move to the 20-nanometer planar nodes form the 28-nanometer, 32-nanometer nodes and are now witnessing the broad based introduction of the 3D gate architecture at foundries specifically used for the 14-nanometer and 16-nanometer FinFET devices. As mentioned we are currently in the phase where the timing and rates of the production ramps for these most advanced nodes is being assessed. And by the way both of these transitions require about a 60% increase in lithography tool investment.

Secondly we are seeing the introduction and initial ramp of vertical NAND which requires about 10% more lithography investments for wafer starts. With vertical NAND bit density is achieved through vertical transistor specking as the successor to the current planar floating gate architecture. As is the case in logic these technology transitions are complex and is therefore not surprising that a pause of vertical NAND capacity buildup is occurring until the time that these technologies can be developed to a point where they are cost competitive with current and future planar nodes. In fact, we have the near-term vertical NAND manufacturing and cost challenges, we see that our customers are considering an expansion of their planar NAND roadmaps actively pursuing possibilities of further shrinks. In the meantime, we remain confident that bit demand growth in 2014 of about 40% in the NAND segments will be met by continued node shrinks and wafer capacity additions.

For DRAM, DRAM bit supply is expected to meet the demand in the mid 20% range driven by technology node transitions only, where lithography process intensity rises again about 10% with each node transition and with the move to mobile DRAM. And lastly, I want to repeat that we strongly believe that the scaling and the related near-term technology challenges in logic and memory explained in the previous trend discussion create an increasing need for EUV in order to continue the cost effective scaling that has driven this industry for the last 50 years.

Our lithography cost modeling continues to show that EUV can drive down critical layer imaging cost through reduced spend on patterning related deposition and etch and it’s associated cost for clean room space while reducing cycle time and very likely improving yields that come along with process simplicity. Today, our NXT:3200 EUV systems are supplying customers with a 200 wafer per day processing capability, which is being used in the current process development phase for 7-nanometer and 10-nanometer logic.

Our given recent source progress we feel very confident that we will be able to meet the 500 average wafer per day requirement by the end of this year as our customers move towards production qualification. This in turn forms the basis for our belief that we will be able to support our customers with an average of 1500 wafers per day for high volume production in 2016. Naturally, we remain fully engaged in EUV insertion planning discussions with our customers and given the progress of the EUV exposure systems, our customers’ interactions show that they are increasingly turning their focus also to the state of maturity of the EUV infrastructure.

Discussions with photo-resist manufacturers, mask blank producers, mask defect inspection suppliers indicated that near-term capability or solutions do exist, but that further development in these areas is needed for future industrialization. We believe that the long-term need for EUV remains unabated. But we also keep focusing of our own growth product strategy to the broadest industry needs covering the most competitive dry and immersion solutions.

We continue to improve immersion systems performance to meet the needs of today’s most complex, single and multi-pass patterning. That means firstly, the TWINSCAN NXT:1970C continues to ramp with 22 systems in our backlog underscoring a high level of customer acceptance and a rapid adoption over all applications. And secondly, TWINSCAN productivity continues to set new records with our NXT:1950 immersion system having processed more than 5,200 wafers in a single day, while another NXT:1960 has imaged more than 1.5 million wafers in the one-year period. We have also started to ship our next generation integrated metrology system, the YieldStar 250D with holistic lithography feedback loops having demonstrated significant improvement in intra-field critical dimension uniformity, focus uniformity and on product overlay in support of 14-nanometer logic and 20-nanometer DRAM.

With this summary, we would be happy to take your questions. So, operator?

Craig DeYoung - Vice President, Investor Relations

Yes. Well, thanks Peter. Ladies and gentlemen, the operator will instruct you momentarily on the protocol for the Q&A session. But before I hand, I’d like to ask that you kindly limit yourself to one question and one short follow-up if necessary. And this will allow us to get as many callers in as possible. So, we would appreciate that. Now, operator, could we have your instructions and then the first question, please?

Question-and-Answer Session

Operator

Thank you, sir. (Operator Instructions) Our first comes from John Pitzer from Credit Suisse. Please go ahead with your question.

John Pitzer - Credit Suisse

Yes, Peter and Wolfgang, thanks for all the detail. Peter, I guess given some of the reschedulings you are seeing on EUV this year out to 2015 as you upgraded the 3350, I am kind of curious about and I appreciate the fact there is a lot of moving parts of this what kind of baseline units should we – are you thinking about for 2015 EUV and kind of what are your assumptions that are going into that?

Peter Wennink

Yes. That’s a good question. Let me first remind you that the next two years are depending on immersive EUV insertion for 10-nanometer and for users in DRAM. What we have looked at and this is how we have built our internal capacity is that we have put into our models that next year we could see 12 to 15 tools if two customers insert EUV in production in logic and one DRAM play a mix that makes that same move. So that will give us 12 to 15 units. This is still the plan of records which is how we are steering our supply chain I how we have built our capacity.

Now, if you have a question and say what if that doesn’t happen and if customers would basically decide to postpone insertion in both logic and in DRAM for one year later for instance and what will that be. We and our customers actually we believe that we will see EUV shipments next year because of learning. EUV will be absolutely necessary at 7-nanometer and if you would not do any learning in the 10-nanometer timeframe, then it will be a steep hill to climb, a very steep hill. So we estimate and also based on the special event customers that if the customers would not choose to insert EUV in production in logic and in DRAM, but only for learning we would look at about half the volume that I just mentioned 12 to 15, so it’s half of that number. But it also means that for 7-nanometer, it’s absolutely necessary everything that we see and we have today and that means that we continue to plan 40 to 60 units per year once we are full insertion on 7-nanometer and DRAM but not only on 7-nanometer but also we have to think microprocessors at that time. So 40 to 60 units will then still stay, but the question is are they going for production insertion on 10 and DRAM or are they going for shipments to actually make sure that they can put EUV into learning and production. Is that a clear answer John?

John Pitzer - Credit Suisse

Yes. That’s very helpful. I guess as my follow-up Peter it clearly didn’t show up in either the June quarter ASP trends, your gross margin trends, but one of the things coming out of SEMICON West last week as maybe the fact that Nicon might have some ease off tools shipping on immersion in the back half of the year. And I am just wondering if you can spend a couple of seconds just kind of elaborating on what you think the competitive landscape on immersion looks like over the next kind of 12 to 18 months or and if what you are doing on holistic lithography is a big enough node that even if Nicon becomes more active you still feel pretty comfortable about your position?

Peter Wennink

To start off with like we feel very comfortable about our position basically driven by the fact that as you mentioned we have the latest NXT:1970C is a tool of actually to show us records in our productivity and in combination with holistic lithography it is very clearly that is a proposal that we can offer our customers in logic and in advanced memory production that nobody can match. So we feel very comfortable about the next 12 to 18 months. You mentioned SEMICON last week is of course clearly a forum where people present themselves and they do product introductions and there are still marketing type statement that’s also how you need to actually look at it. I would look at the order flow and I would look at who buys what and you will see going forward that the choice for leading edge immersion will definitely be falling on ASML.

John Pitzer - Credit Suisse

Perfect. Thanks Peter. Thank you.

Operator

Thank you. Our next question comes from Francois Meunier from Morgan Stanley. Please proceed with your question.

Francois Meunier - Morgan Stanley

Yes. Thanks a lot for taking my question. I have got a question about logic which is quite weak at the moment or is going to be quite weak into next two quarters, Peter should we see the weakness as a push into 2015 and then there is going to be quite strong snapback in logic or is it something that is going to be like delayed further, so it’s not incremental for the 2015 forecast?

Peter Wennink

Yes. I mean for 2015, it’s – I think it’s too early to give you a detailed guidance from 2015. It is clear like we said in the introductory statements that this capacity buildup of 14-nanometer and 16-nanometer FinFET is slower than we anticipated, because it’s more complex. And also the foundry space is pretty heterogeneous. It is not that all foundry players are on the same level in terms of the decision-making on what they want to do with their installed capacity or how they want to build out that capacity, but we said we have customers that are actually digesting a storm capacity buildup of the last couple of quarters, customers that are building up that particular capacity and customers that are clearly reevaluating with what speed they should go.

Now, looking at those reasons, it will be very difficult, if it is difficult to assess and to gauge how the let’s say technical complexities will be overcome and who will then move first also in the context of a let’s say customer competitive environment for our foundries, which has also changed. You can understand it’s a bit difficult to answer your question that this will snapback at that moment in time. 14-nanometer and 16-nanometer FinFET capacity we believe together with 20-nanometer planar will need about 300,000 wafer starts. Now, to actually assess when that will happen is a bit difficult, but it will happen over time.

Francois Meunier - Morgan Stanley

That’s very clear. Maybe just a quick question then about the laser source, we have heard from Gigaphoton, which is a competitor of Cymer that they have a laser source for EUV which is now working at 92 watts, that’s what they claim. Maybe can you tell us where or how strong your EUV source is performing at the moment? Thank you.

Peter Wennink

Yes, that’s a good comment. Basically, that comment on the watts was where we were a couple of years ago. So, the focus on the watts if you don’t have watts, you don’t have light, you don’t have EUV, it’s that simple. I would like you perhaps also the listeners to turn to Slide 21 in the presentation package basically shows that power is only one of the elements that drives good wafers per day and that’s ultimately what customers currently want. That particular 92 watts we are of course very much aware of, because we have lost contact with them. That has been shown for a very, very short period of time. You could solve seconds or let’s say parts of a second. So, that is just the capability which is very, very I would say rudimentary and that’s where we were a couple of years ago. Now, if you want good wafers per day, look at Slide 21, good wafers per day are driven by source power, by source availability and by the scanner and it all needs to work together.

Now, the source power and you are talking about the source power has three main components that drive good wafers per day, which is the laser power and we talked about that, the conversion efficiency, how much EUV light can you get out of that laser and out of the EUV plasma and the dose margin? Now, those are three elements, they all need to end up only that will determine three major elements that will determine the source power. They have source – the source availability is driven which currently is the most important part. It is different by automation, collector protection, droplet generator, reliability, the drive laser reliability and as it needs to work together with the scanner, where you can have the optical transmission improved, optimize the overhead, which is the waiting time resist sensitivity and it all together brings you good wafers per day. So, when you talk about the power, laser source, that is much, much more that ultimately determines what customers want. And that’s why we have to look at that particular comment from the Gigaphoton in that context.

Francois Meunier - Morgan Stanley

Okay, that’s very clear. Thank you, Peter.

Peter Wennink

Welcome.

Operator

Thank you. Our next question comes from Timothy Arcuri from Cowen & Co. Please go ahead with your question.

Timothy Arcuri - Cowen & Co.

Thanks so much. Peter, I wanted to ask about the 3D NAND commentary, now you are saying that there is very little volume being added during the back half of this year which makes sense certainly given the lead times and the struggles from the one customer who has tried to ramp it, but it also sounds like that customers maybe work through some of the key process issues. So you added, it sounds like you are sort of down ticking on the overall 3D NAND ramp even looking how to pass the second half of this year. So maybe can you go into a little more detail about that, I am trying to fit those two comments? Thanks.

Wolfgang Nickl

Well, of course we are never going to talk about one specific customer. I think 3D NAND is relevant in the complex of the NAND market in total. Now you just mentioned that there are issues in terms of the complexity of the new production in our methodology and the architecture, which is normal when you are at the beginning of a new technology. But you have to look at what – how many bits do we need next year, well if we need next year about mid-40% bits that needs to be provided by the industry. And that means if it is – if the ramp of 3D NAND is slower, it has to be taken up by something else, which is 2D planar. And when we listen to customers in general, then several of our customers have actually announced one or even two generations of 2D planar devices for the next years, so, it actually means that if you talk about 45% or let’s say mid-40% bit growth next year which is about 100,000 wafer starts capacity and that needs to be added whereby limited part will be through 3D NAND of what needs to go through 2D. And that actually coincides very well with the statements that many of our customers made that they are planning one or two generations of 2D planar for the next few years.

Timothy Arcuri - Cowen & Co.

Okay. Thanks so much for that. And then Peter if I look at your performance relative to your peers, if you strip out service and EUV it looks like you are going to be about flat year-over-year this year on a wafer fab equipment market that’s growing somewhat it’s to-date how much it’s growing, but it’s probably growing 10% maybe 15% this year. So, it sort of implies that the intensity of litho of your core ex-EUV is actually going to decline this year, is that just timing and should that snap back next years I mean certainly even if you strip out EUV you would think that snaps back actually, but I am wondering why it’s down this year? Thanks.

Wolfgang Nickl

Yes. A little bit of that is timing, if you remember there were quite significant shipments at the end of 2013 to facilitate the initial capacity build-out of 20 nanometers. We have also always said that our revenues are not to be correlated with CapEx spending or other spending, sometimes it’s higher, sometimes it’s lower. And Peter said already for ‘15 we do not have the visibility to guide specific numbers, but there are certainly certain trends there that make us feel confident. Peter talked about that EUV systems, 3350s carry higher ASP than the 3300s. And depending on the volume we ship there, the upgrades of the 3300 to 3350 they are not free either.

Peter also mentioned logic while we can’t talk about the exact timing of the rollout of 16 and 14 in particular, we are certain based on the discussion with our customers that we get to the 300,000 wafer starts. And we estimate by the end of this year, it’s going to be about 120ish that has been delivered, so at least they are quite a bit for ‘15 and the early part of ‘16 possibly. DRAM and NAND look promising. NAND is pretty steady machine at 40% or so bit growth and that was 100K wafer start capacity expansions. So, we are quite positive overall. DRAM, we are planning in the mid-20s, but there is lot of debate that whether that could be a little bit higher as we also shift a little bit from PC DRAM to mobile DRAM which is more litho intensive. So, overall, there is a good – couple of good catalysts in the year, but sufficient uncertainty that we can’t give you an exact number there.

Timothy Arcuri - Cowen & Co.

Great guys. Thanks so much.

Operator

Thank you. Our next question comes from Sandeep Deshpande from JPMorgan. Please proceed with your question.

Sandeep Deshpande - JPMorgan

Yes. Thank you. Can I ask a question on EUV you have had and there have been push outs of three EUV tools into next year, how do you see the progress of EUV now if suppose you are on schedule to ship into production in 2016 for one layer or whatever in the foundries, how do you see the progress of this tool into next year and into 2016 in terms of units? And then I have one follow-up.

Peter Wennink

Yes. You talk about the push-out, I wouldn’t call it that way, those are three systems that were for customers that were in the back of the line I would say and those customers were not the first ones to introduce EUV in R&D. The first ones that introduced EUV in R&D already received the 3300 last year and the beginning of this year and those will be the ones that will put the 3350 into production, but there is all those type of customers, there is – Sandeep, could you mute your phone? Some feedback is coming through. Thanks, thanks. So, those customers that actually received the first 3300, those are the ones that are planning for introduction in production next year, but all the customers that also need to do EUV, R&D and those customers are in you could say the back of the line of the 3300s and those customers now have an opportunity with the 3350 coming old line, they say listen we need to do the R&D, let’s try to do it on the 3350, yes and we would much rather do that, because the specifications are better. So, it’s different types of customers. So, it’s not a push out, it is basically a requirement of those customers to get access to the better performance of the 3350, which they say we are happy to live with that to receive the tool a bit later, because it gives us better opportunity in R&D. So, that’s what it is. Sandeep?

Sandeep Deshpande - JPMorgan

Thanks Peter. And a follow-up question from me on the regular tools, I mean there is this uncertainty on the foundry – in the foundry market, would you say how are you prepared to ship in the sense that if one of your foundry customers came to you in the fourth quarter and said that we need a large number of tools to be shipped in the next three or four months, can ASML do that or essentially I mean this is 14-nanoemeter, 16-nanometers for production in the middle of next year could not happen, because the customer hasn’t placed – given you the indication that they need the tools? Thanks.

Peter Wennink

Well, it’s I think we are preparing like I said earlier and I think it was the first question that John asked that we are preparing based on the discussions we have had with customers on the potential insertion of EUV next year of 10-nanometer and DRAM that two of those customers in logic, one customer in DRAM and it will be limited number of layers, one or two layers will give us 12 to 15 units that they need, that’s our simulation it tells us. So, we are preparing for this, which actually means that if they need it, they will have somewhere in the second half of the year to take that decision and tell us when they want those tools. So, if they want more than 15 units, we are in trouble. We don’t think that based on the simulation and our discussion that will be a lot more than those three customers, one DRAM or two, logic that will require a significant number of tools. So, I think we are okay and I think we will be able to ship as the 3350 will be as part of our regular production program. So, we will be able to ship 3350s throughout the year.

Wolfgang Nickl

And Sandeep on immersion, just because we are not giving guidance for ‘15, it doesn’t mean that we are not having the detailed discussions with our customers about the ramps there and we will be prepared for whatever they want to do? And if we have talked in the past about our inventory strategy we have also incorporated certain buffer tools there, so that we are not going to be the bottleneck and the limiter of potential wafer start ramps.

Peter Wennink

Yes, it’s a good comment, Wolfgang, because we need to realize that if customers would decide, some people ask the question is that well, what if they decide not to insert in production that we go into it. We need the tools for learning for 7. So, we are going to do kind of a shadow production in 10. Well, what that means for immersion to Wolfgang’s point, we need to make sure that then the immersion demand will be significant. So, we need to prepare either/or and that means that we have some flexible arrangements made in the supply chain and also in our own work in our process to make sure that we have sufficient buffer tooling for – in the case that they need more immersion tools.

Sandeep Deshpande - JPMorgan

Thank you, Peter and Wolfgang.

Operator

Thank you. Our next question comes from Srini Sundar from Summit Research. Please go ahead with your question.

Srini Sundar - Summit Research

Hi, thanks for taking the question. If you look at the EUV infrastructure, what area are you still most concerned about for a 2016 introduction?

Peter Wennink

I think when you look at the infrastructure everything is in principle there, because we are processing EUV wafers, so we have EUV mask defect inspection, there is photo-resist. So, it’s in principle all there, but when you go into industrialization and you need for instance as a higher volume of photo-resistance just to name one, that also means that quality control on bigger volumes of gallons, hundreds of gallons of that photo-resist needs to actually happen and that needs to be organized. Same for mask blanks, where mask inspection can be done currently without a specific mask inspection tool that is warranted when we go into more EUV layers at M7, but for the meantime we have solutions how we can do that, but it’s really the ramp through what we call industrialization where you know that you need between 500 and 1000 wafers per day second half 2015 on a regular basis with the mask infrastructure there, the mask blanks there, the defect detection there, the photo-resist there, which is currently more in a research state. Now, so it’s not one particular thing it is the combination of all these things that need to be tackled one by one. So, there is not one sticking out that we feel is a major issue.

Srini Sundar - Summit Research

Okay. And just a follow-up, actually given that you do not breakout DRAM and NAND in memory and also given that customers can use previous generation immersion tools for 3D NAND, how confident are you that they may not be sneaking in 5K to maybe 15K wafer starts per month 3D NAND capacity in the second half of the year?

Peter Wennink

Well, I think speaking what we don’t know, I mean, if they want to move one tool of one fab to another fab, then they need us so that we know, but if one particular fab, they are going to run DRAM instead of NANDs we don’t know or NAND instead of DRAM we don’t know. So, this is why we don’t break it out, because we don’t know this. So, I think it’s pretty clear when they move from one fab to the other, but in other case we don’t know. So to answer your question, yes, things could happen that that…

Srini Sundar - Summit Research

Actually, you didn’t answer my question, all I am saying is that for 3D NAND you cannot really know whether there will be capacity like small 5000 wafer starts per month to 10,000 wafer starts per month, I think that the customer can easily do that in the second half of the year without affecting too much notice?

Peter Wennink

Sure. They can always do this. So, it is not a question, you just made a comment, which is great. Yes, that could happen, a small capacity will always be used for either/or.

Wolfgang Nickl

As long as the market consumes the incremental 40% bit, we got to add that 100K wafer starts either/or 3D if the industry wants to deliver that increase in store capacity.

Peter Wennink

I will tell you one thing in the discussions we have had with the customers in the NAND space some of our customers have indicated the expansion of their planar nodes roadmap very clearly and they are executing on it.

Srini Sundar - Summit Research

And are they going to go to 12-nanometer beyond this?

Peter Wennink

I am afraid we are going to move on to the next question, the next caller please.

Srini Sundar - Summit Research

Thanks so much.

Peter Wennink

You’re welcome. While I have this opportunity could I ask everybody if it’s convenient at all to mute your mics because we are getting a lot of feedback, so I ask you to ask your question if you can mute your side that will be appreciated. Thanks. Operator?

Operator

Thank you. Our next question comes from Gareth Jenkins from UBS. Please go ahead with your question.

Gareth Jenkins - UBS

Yes. Thanks. If we roll forward to the end of next year if we start to approach the 1500 wafers per day, can you just give us a sense of what the wafer costs would look like versus a full emotion situation to 10-nanometer please?

Peter Wennink

Right, I cannot give you the details, the only thing I can tell you is what our customers tell us. If you deliver 1500 wafers per day this is the target. They make the cost calculations and they feel that is the target, it’s not our number it’s their number to be okay.

Gareth Jenkins - UBS

And secondly Peter, I just wondered if you could give us a sense on metrologies obviously increasingly important in an uneven environment, can you just give us a sense around YieldStar on the latest product release, what the improvements are and what you expect from YieldStar going forwards?

Peter Wennink

Yes, when customer shrink and the resolution is getting smaller and smaller and especially in the immersion space, but it will also be true in the EUV era, but you are seeing that, that from a mechanical point of view and from a machine control point of view, there are limits to how far we can push it. So, when you talk about 6, 7, 8 passes potentially 9 passes on a critical layer on the intent, that means that there are boundaries to which you can control the machine. So, you need that software. And actually that software, the 250D, the YieldStar 250D is particularly important for us, because it is the focus on – the overlay focus and that uniformity throughout the wafer that is bringing our customers the possibilities to control yields, because as you can imagine, if you have to do 9 passes for one particular layer, that you need to be very, very close to your targets, because every pass gives you a small variation and 9x it goes very, very fast. So, that’s why the importance of metrology and the improved performance of the 250D in terms of uniformity and overlay, becomes a very important element and integral part of the whole lithography solution. So, that’s not going down. It will go up in terms of significance. And with EUV, with resolutions going down, that’s going to be the same. The smaller the resolution the more metrology we actually need.

Gareth Jenkins - UBS

Thanks.

Operator

Thank you. Our next question comes from Stephane Houri from Natixis. Please go ahead with your question.

Stephane Houri - Natixis

Yes, hello. Good afternoon. I have a question about the gross margin, if you could help us understand the evolution of the gross margin going forward? And let us know with the decline expected in Q3 only due to the increased number of EUV tool you are going to deliver and what will be the gross margin under the free tool shifted to 2015? Thank you.

Wolfgang Nickl

Yes. I will take a crack at that. I mean, our gross margin is obviously impacted by numerous things. Overall, the trend of our gross margin in our business is up. Just to give you a flavor, if you would add the first two quarters and ask the guidance for the third quarter, we are somewhere between 43.5% and 44%. The last full year was 41.5%. So, our margin trended up and that’s aided by the most advanced immersion tools. The product mix is also aided by service and holistic lithography. Margins are negatively impacted for now based on EUV tools that we are shipping. You are absolutely right, Q3 when we recognized two tools was one in the prior quarter that has an impact somewhere around 2% or so. So, that’s one impact. The other one is the mix of products, but also the mix of type of customers that we shipped to because memory tool is differently configured than the logic tool, for instance.

And if you look at last quarter Q2, it was an exceptional quarter. So, the ASP went up from €26 million to €40 million and that’s forecasting quite that high of an ASP in Q3. So, you have a bit of an impact there. Another impact is if your overall revenue goes down quarter-over-quarter we have some fixed cost in the business. So the coverage goes down a little bit and you have heard a little bit of cost of under absorption. So, those are some of the impacts as it relates to the 3300 that will become 3350s. I think I mentioned in the prior question, we will charge for that upgrade and that will not make the situation worse, it will make it little bit better.

Stephane Houri - Natixis

Okay, thank you very much.

Wolfgang Nickl

You’re welcome.

Operator

Thank you. Our next question comes from CJ Muse from ISI Group. Please go ahead with your question.

CJ Muse - ISI Group

Yes, good morning. Thank you for taking my question. I guess first question I was hoping to dig a little bit deeper in the foundry side and I guess forgive my multi-part question, but first in terms of the delay, can you talk about what’s driving it in terms of complexity versus demand visibility versus maybe some of the volatility related around the strategic alliance between GF and Samsung? And then as part of that, can you share what kind of capacity will have been added exiting calendar ‘14 as part of the 300,000 wafer starts that you have highlighted as the likely outcome? And then finally, with this push on 20 and below, what does that mean for backfill for perhaps increased demand for 28-nanometer equipment?

Peter Wennink

Okay. The capacity, I think Wolfgang added it, I think with our current shipment pattern we believe that we will be around 120,000 wafer starts on the 20/16/14-nanometer node, so out of the 300,000. So, we are less than halfway. And the reason to want to dig deeper, what is the main reason whether it’s the complexity, it’s more difficult, whether it’s the end market, whether it’s the competitive situation and the choices that fabs companies need to make is very difficult for us to figure that out what it is. We know that’s – definitely, it’s difficult. We know also that there is competitive situation that of course we are not part of, because it’s something that’s between our customers and their customers. How are these played? We don’t know. So, to answer your question, I cannot give you that color. It could be all of it. It could be one of two of those reasons driving it, we don’t know.

Whether it’s the – your last part of the question was whether the delay in the sub-20 is going to have an impact on 28. I think it’s disconnected. You are asking basically whether customers are reverting back to 28 instead of sub-20. I don’t think that’s the case. We are seeing that the 28-nanometer node is the strong node. We have always said it. And so that will be about 300,000 wafer start node. We are currently focusing – I think we have installed 270 to 280, so we still can see some capacity additions in that space. We also see second tier foundries now adding 28-nanometer capacity in other places than the traditional leaders will be. So, yes, I think 28-nanometer is going to be a strong goal, but I think it’s independent of the sub-20 nanometer delay.

CJ Muse - ISI Group

Very helpful. And if I could just ask a follow-up, in terms of gross margin trajectory into 2015 and trying to not put in an assumption around unit volumes, but I would assume clearly up, how should we think about I guess the moving parts there in terms of EUV? And then I guess the final 10% coming in at a higher margin holistic lithography increasing a move to your higher ASP toolset on the emerging side? Can you provide some granularity on how we should think about the trajectory into 2015?

Peter Wennink

Yes. I will take a crack at it. I mean, again like we said our long-term views on margins are up, if we are somewhere in the 43ish range, 44ish range this year and came from 41.5. We have said before that I mean three, four-year timeframe. One of the EUV is matured, what will be in a situation where we could be closer to 50% and what are the drivers for that? First of all, of course EUV, it provides no gross margin at all right now. We have hit the 3350, which we are starting to ship next year. We will get somewhere close to 20% or so. And then it will take us based on our experience of course like two years or so to get it somewhere in the 40% range. So, that’s obviously a big contributor to this. Holistic lithography is we always said it’s like 75% of software business and then 25% the YieldStar is a hardware business, but the blended margin for those dilutions based on the value they provide is somewhere in the 75% to 85% range. And that part of the business is growing faster than the overall revenue. That contributes as well. So, where is ‘15 going to be? As we can’t tell the revenue, it’s going to be really hard to tell the margin, but over the next three, four years, I think we will be progressing on that trajectory.

CJ Muse - ISI Group

Very helpful. Thank you.

Peter Wennink

You’re welcome.

Operator

Thank you. Our next question comes from Andrew Gardiner from Barclays. Please go ahead with your question.

Andrew Gardiner - Barclays

Thanks very much. I was just hoping to return to the EUV question, just in terms of the progress that you have made in the quarter moving from 100 wafers per day the last time we spoke on this call to 200 wafers per day at the moment. Can you give a bit more detail around the factors that have driven that? I mean, you have highlighted Slide 21 in the deck in terms of source panel and system availability and this kind, but just in terms of what’s got you that initial doubling in productivity in the quarter? And then in terms of how – what we should be looking for in terms of the further upgrade packages coming later this year?

Peter Wennink

Yes. I think when you look at the 200 wafers per day that we have achieved in the second quarter, it was the result of let’s say a planned upgrade. And the upgrade was done by the end of Q1 really resulting in what we talk about today which is the doubling of the productivity. So, you could say that the upgrade package that we executed delivered according to plan, which is always good. And it was basically a combination of automation, yes, I would say to source availability, the stability of the source power, the combination of the two. When you go to the 500 wafers per day, so going to Slide 21, you could say it’s the left upper hand and the right upper end of the circle. That was basically where the improvements were. That will continue in the second half of the year, but the focus will be on the so-called availability packages, which will be on the right hand side. So, as you source, it source availability, but also the optimization of the overhead, because once you have now a system that works together, the source works together with the scanner, you need to optimize the so-called waiting times. There are waiting times when the scanner is not completely ready to receive the EUV light, those are all software issues and that automation and overhead optimization is also part of the availability program.

Next to that, we have for the 3350 we have some better optical transmission, which will help next year to move it beyond 500. So, I would say, it’s the right hand side of that circle, it’s the automation, it’s the drive laser reliability, the overhead optimization that will be the main contributor for the availability packages that we are going to rollout in the second half, but we see it bring us to this 500 wafers per day.

Andrew Gardiner - Barclays

Okay, yes thanks very much. Just very quick sort of mechanical follow-up, Wolfgang, you said you are charging for the upgrades of the laser from the 3300 and 3350. Now that you are not going to have to install that sort of the second laser, I think the mobile PrePulse upgrade that you weren’t going to be charging for, is there a difference in terms of the margin of these three devices that have been delayed?

Wolfgang Nickl

No, not on the base 3300.

Peter Wennink

Well, because the base that we got to still use is the MOPA PrePulse, so you still need the second, this is going to the other upgrades which you have to do with the optical pattern.

Andrew Gardiner - Barclays

Okay. So, the initial will still be 0% gross margin, but then another laser on top of that…

Wolfgang Nickl

It’s the upgrade package.

Peter Wennink

Yes, but the upgrade package to 3350 will have a margin.

Andrew Gardiner - Barclays

Right, okay, thank you.

Operator

Thank you. Our next question comes from Mehdi Hosseini from SIG. Please go ahead with your question.

Mehdi Hosseini - SIG

Yes, thanks for taking question. Peter, can you please tell us what your DRAM customers are telling you regarding the technology roadmap specifically 1x, when would they expect to start procuring and have they resolved the challenges associated with the 1x node DRAM? And I have a follow-up.

Peter Wennink

Yes. I think it’s a good question. I think when we talk about 1x node DRAM, we are very quickly talking about EUV, but they are making progress. I think the level of progress that they are making and conquering the 1x challenge, you should really ask our DRAM customers, but we have intensified. We can only say from a litho point of view we have intensified the discussions on the next node. That means that they are seeing ways to overcome challenges and the EUV has also become very relevant in that perspective. I think that’s the only thing I can say.

Mehdi Hosseini - SIG

Just as a follow-up to that, if you were to compare insertion of EUV for 10-nanometer versus EUV 1x DRAM going back to your commentary about next year, how would you – what is the probability of one succeeding and not the other one? Do they both have same probability of succeeded and failure or is one has a higher probability than others?

Peter Wennink

Difficult to say now because they are disconnected as you pointed out. I wouldn’t give one a better chance than the other. I think it is basically driven by our ability to show with 500 wafers per day. The upgrades on the productivity I think from a let’s say a lithography point of view, a resolution point of view, from a EUV performance point of view there was no doubt that they need us. It is about how certain can we plan the availability of the EUV machine to start production. And from that point of view you could say when you look at the cost focused step DRAM versus logic you could say that cost focus will be a bit higher than DRAM because the prices are lower. That is given by availabilities, that’s the only comment I can make in this context.

Mehdi Hosseini - SIG

Got it. And then one question for Wolfgang with 60% of the buyback already completed what are your thoughts on capital return especially now that you have been in the job for 6 to 9 months?

Wolfgang Nickl

Well, I think that capital allocation policy that has been established by Peter and the team is just fine. We will continue to regulate etcetera our minimum cash requirements. We have the ambition to provide an annual dividend that is stable at the minimum, but we attempt to grow it. Last four years we grew it by 15% every single year. And then the balance between of the cash that we have in the bank and the minimum cash balance we will spend on investments in our own shares or 13, 14 that’s likely going to be €1 billion and then watch out towards the end of the year, we will consider what we have to do in ‘15 or ‘15 and ‘16 combined we will discuss that with our supervisory board as we come closer to the end of the year. But now there is clearly no change what the team has done is totally in line with my philosophy as well.

Mehdi Hosseini - SIG

And can you remind me what’s the minimum cash you would need for working capital and…

Wolfgang Nickl

Right, I am probably seeing...

Mehdi Hosseini - SIG

Available cash in the bank?

Wolfgang Nickl

Right now on a gross basis, we have a pretty conservative financial policy and that’s alright, gross basis right now our planning assumption is about €2 billion, so you will see the room to execute on the remainder of the program.

Mehdi Hosseini - SIG

Got it. Thank you.

Peter Wennink

Ladies and gentlemen, we are going to try to squeeze one more call in if you didn’t get through and would like to talk to Investor Relations we are available on both sides of the ocean, so just send us a note and so then operator can we have the last question please.

Operator

Thank you. Your last question comes from Mahesh Sanganeria from RBC Capital Markets. Please go ahead.

Mahesh Sanganeria - RBC Capital Markets

Yes. Thank you very much. So based on your commentary it looks like in the second half revenues are maybe I am thinking maybe 50% to 60% memory and close to 30% foundry and that’s IDM, but it seems like if foundry backlog is a little bit higher than memory. So does that indicate that you have some foundry orders right now that ships probably next year?

Peter Wennink

Let me take a crack at this. I mean, if you just at our backlog, it’s about 1.8 billion, two-thirds of those ship in the next six months and you see the breakdown on Page 13 of the deck that we published you get a little bit of an idea that memory continues to be strong 36%. And then IDM I talked about this in my prepared remarks, we will see a strong yield there and you see the backlog, we have 24% of our backlog. And then foundry is 40% of the backlog and we will take orders that support our outlook. Order intake is something that becomes a little bit more of a mechanical thing. Often it’s also lumpy that people do it after they close of EPAs with us, but you can see the details there and it’s roughly how the business will turnout today.

Mahesh Sanganeria - RBC Capital Markets

Okay. Then a follow-up, if I look at your revenues you had an excellent growth in IDM revenues, significantly on memory, but foundry is down for two years in a row and it’s actually down pretty significantly you have to go back to 2010 to get to these levels, I am trying to reconcile the fact that at 20-nanometer that the last couple of years have been lot of 20-nanometer shipments and your capital intensity of 20-nanometer is going up significantly, yet your performance in foundry seems to be trailing your competitors and are not competitors it appears in other segments like deposition etch, so if you can elaborate on that what’s happening there?

Peter Wennink

Yes. I think you said its when you look at the last three years 2011, ‘12 and ‘13, we have €1.9 billion in 2011, €2.2 billion, €2.3 billion in 2012, €2.1 billion in 2013 given the fact that our tools are €50 million each that there is a difference of €100 million is two tools. I think we have seen very strong logic demand or foundry demand for the last three years. We have seen the most aggressive node to node transition from 28 to 20 to 14, 16 and our 10-nanometer R&D. It’s we are seeing for all the reasons that we talked about in this call we are seeing a slowdown I think everybody will see this. The only issue is that we have lead times that are quite – that are longer. So, we see it a bit earlier. We mentioned it a bit earlier. And I think that’s the main reason. And I think all the rest when you listen to the call was extensively discussed.

Mahesh Sanganeria - RBC Capital Markets

Alright, that’s very helpful. Thank you.

Peter Wennink

Okay. Thank you.

Craig DeYoung - Vice President, Investor Relations

Thank you everybody for joining us. Certainly, on the behalf of the ASML board of management, I would like to extend our thanks. And so operator, if you could formally conclude the call, I’d appreciate it. Thanks.

Operator

Thank you. This does conclude the ASML second quarter results conference call. Thank you for your participation. You may now disconnect.