ASML Holding's (ASML) CEO Peter Wennink on Q2 2017 Results - Earnings Call Transcript

July 19, 2017

ASML Holding N.V. (NASDAQ:ASML) Q2 2017 Earnings Conference Call July 19, 2017 9:00 AM ET

Executives

Peter Wennink - President and CEO
Wolfgang Nickl - EVP and CFO
Craig DeYoung - VP of IR

Analysts

David Mulholland - UBS
C.J. Muse - Evercore ISI
Andrew Gardiner - Barclays
Alex Duval - Goldman Sachs
Mehdi Hosseini - Susquehanna
Farhan Ahmad - Credit Suisse
Douglas Smith - Agency Partners
Sandeep Deshpande - JPMorgan
Amit Harchandani - Citigroup
Jagadish Iyer - Redstone
Robert Sanders - Deutsche Bank

Operator

Ladies and gentlemen, thank you for standing by. Welcome to ASML 2017 Second Quarter Financial Results Conference Call on July 19, 2017. Throughout today’s introduction, all participants will be in a listen-only mode. After ASML’s introduction, there will be an opportunity to ask questions. I would now like to open the question-and-answer queue. [Operator Instructions].

I would now like to turn the conference call over to Mr. Craig DeYoung. Please go ahead, sir.

Craig DeYoung

Thank you, Peter. Good afternoon and good morning, ladies and gentlemen. This is Craig DeYoung, Vice President of Investor Relations here at ASML. Joining me today as always from our headquarters here in Veldhoven, The Netherlands is our CEO, Peter Wennink; and our CFO, Wolfgang Nickl.

As a reminder, the subject of today’s call is ASML’s Q2 2017 results. The length of the call will be 60 minutes and questions will be taken in the order that they are received. This call is also being broadcast live over the Internet at asml.com. A transcript of management’s opening remarks and a replay of the call will be available on our Web site shortly following the conclusion of the call.

Before we begin, I’d like to caution listeners that comments made by management during this conference call will include forward-looking statements within the meanings of the federal securities laws. These forward-looking statements involve material risks and uncertainties. For a discussion of risk factors, I encourage you to review the Safe Harbor statement contained in today’s press release and presentation found on our Web site at asml.com and in the ASML’s Annual Report on Form 20-F

With that, I’d like to turn the call over to Peter Wennink for a brief introduction.

Peter Wennink

Good morning and good afternoon, ladies and gentlemen, and thank you for joining us for our Q2 results conference call. Before we begin the question-and-answer session, Wolfgang and I would like to provide an overview and some commentary on the recent quarter as well as provide our view of the coming quarters.

Wolfgang will start with a review of our second quarter financial performance with added comments on our short-term outlook. And I will complete the introduction with some additional comments on the current business environment and our future business outlook.

Wolfgang, if you will.

Wolfgang Nickl

Thank you, Peter, and welcome everyone. I would like to first highlight some of the second quarter financial accomplishments and then provide our view for the coming quarters.

Q2 net sales came in at €2.1 billion. Net system sales accounted for €1.38 billion showing another quarter of increasing memory business, which is now at 54% of net system sales and also a strong quarter of logic sales, which represented the remaining 46%.

Installed base revenue for the quarter came in stronger than expected at a level of €717 million driven by major DUV and Holistic Lithography upgrades. For the first half of the year, our total installed base revenue is already at €1.45 billion compared to a full year sales of €2.12 billion in 2016.

Gross margin for the quarter came in at 45%, slightly higher than guided, driven by a higher top line and a favorable mix. Overall, OpEx came in as guided, although R&D expenses came in slightly lower at €313 million and SG&A expenses came in slightly higher at €102 million driven by litigation expenses.

Turning to the balance sheet. Quarter-over-quarter cash, cash equivalents and short-term investments came in at €2.51 billion. As a reminder, in Q2 we had several extraordinary cash outflows which have brought the overall cash balance back to our target levels.

We have paid a dividend of €1.20 per ordinary share or approximately €517 million in total to our shareholders. We also have repaid a maturing bond with an outstanding balance of €238 million. And lastly, we have closed the acquisition of a 24.9% interest in Carl Zeiss SMT during the quarter for €1 billion.

Moving on to the order book. Q2 system bookings came in at €2.37 billion including orders for eight 3400 EUV systems from two customers. Six of the EUV orders came from one customer for using both logic and DRAM. Total bookings were almost €500 million higher than in the previous quarter.

The breakdown of the bookings of 60% logic and 40% memory is the same as in the previous quarter. Strong bookings in the logic sector are in support of the 10-nanometer RAMs and in support of the EUV insertion at the 7-nanometer node.

Memory bookings, mainly in the EUVs, strengthened further from its strong Q1 level supporting the expected 50% year-on-year revenue growth in the memory sector in 2017. The continuing order flow for EUV systems increases our EUV backlog to 27 systems valued at €2.8 billion. Our overall systems backlog now stands at a record €5.35 billion.

After two strong quarters in 2017 in combination with a record order book, we are now expecting full year of net sales which are up approximately 25% from our previous record revenue of €6.8 billion in 2016. 2017 revenue is driven by continued strong demand for our entire portfolio, driven by both logic and memory.

With that, I would like to turn to our expectations and guidance for the third quarter of 2017. We expect continuing sales trends in Q3 with total net sales of around €2.2 billion, including an estimated €300 million of EUV revenue. We plan to ship three NXE:3400 in the September quarter. Our EUV shipment plan for the full year remains at 12 systems and is backend loaded.

We expect our Q3 installed base revenue to come in around €600 million, driven by continued demand for Holistic Lithography options, high-value upgrades and our growing installed base. For the full year, we expect our installed base revenue to be up by approximately 20% versus the 2016 levels.

Gross margin for Q3 is expected to be around 43%. Excluding EUV systems, gross margin is approximately going to be at the same levels as in Q1 and in Q2. R&D expenses for Q3 will be around €315 million and SG&A is expected to come in at about €105 million. SG&A includes expected increases in legal expenses.

Finally, ASML will resume share buybacks in Q3. As a reminder, we had paused our share buyback program for about one year to acquire HMI and the minority share of 24.9% in Carl Zeiss SMT. We have €1.1 billion remaining for 2017 from our previously announced share buyback program. We do not expect to execute the entire remainder of the program in Q3 and Q4.

In line with our policy, we will return excess cash to our shareholders through share buybacks and we will make announcements on future share buyback programs when appropriate.

With that, I’d like to turn the call back over to you, Peter.

Peter Wennink

Thank you, Wolfgang. As Wolfgang has highlighted, our business continues to perform very well. We expect our positive momentum to continue throughout the year based on the market environment and the related strong demand for our products. We should deliver another record year with net sales growth expected at about 25% representing one of the strongest gains in annual revenues in our history.

While Wolfgang reviewed our current quarter performance and outlook for the current quarter, I would like to provide some commentary on the longer-term outlook of our market drivers followed by an update on the progress and plans for our product groups. Let me start by making some comments on the market drivers and the impact on litho demand.

As we have moved further into the year, the demand for memory has continued to strengthen, especially noticeable in DRAM. We are on track to see our memory ramp to grow by around 50% year-on-year leading to highest memory demand in the history of ASML. However, we need to remember that this growth in spend coming off a year and a half of wafer capacity reduction due to significant under spend in 2016 and relocations of leading-edge tools to 3D NAND and that combined with a significant end market demand growth this year.

In 3D NAND, the industry continues to witness a number of greenfield fabs that are ramping which is driving very strong lithography growth. One-chip demand for our tools is expected to grow around 15% year-on-year driven by the continued ramp of 10-nanometer as well as the start of the 7-nanometer node, which is particularly driving the logic growth this year as it concentrates on the planned EUV adoption.

With regards to China, while we’ve been doing business in this region for over 25 years and we currently have over 600 employees in 11 cities supporting an installed base of more than 400 lithography systems. We also have two R&D centers in China and are working to deepen our relationship with Chinese semiconductor industry customers by collaborating with industry construction.

We signed a Memorandum of Understanding with the Shanghai Integrated Circuit Research and Development Center, ICRD, a public research consortium dedicated to the advancements of the semiconductor industry in China; set up a jointly owned world-class application and training center in Shanghai. We have seen continued revenue growth from China over the last five years by both domestic Chinese as well as non-domestic companies and we see a lot of opportunity for growth in this region going forward.

However, as we mentioned from the earlier locations, the speed with which this growth will translate into sales and earnings is dependent on the ability of our new Chinese semiconductor customers will effectively bring qualified and competitive products in volume to the market. This might take some time.

We are currently in discussions with five domestic logic and memory customers which per published fab plans translate into a lithography opportunity of more than €3 billion. This opportunity last quarter took bookings from a new Chinese domestic memory customer with shipments later this year.

In summary, we will see significant growth in memory demand versus prior year and logic we will build further on the healthy demand level seen in 2016, largely driven by EUV and China providing a meaningful medium-term growth opportunity. Installed base revenue continues to grow at an even greater rate than last year, driven by broad-based adoption of high-value field options and upgrades.

And finally, demand will be further accelerated with the EUV adoption as customers start ramping this technology in volume production. Our current view is that the positive business trends that we’re seeing in 2017 are likely to continue as we enter 2018.

On the ASML product side, let me start with an update on our EUV business. In EUV we continued to make progress as planned. We now have demonstrated all key performance specifications on our NXE:3400 system and this includes a throughput of 125 wafers per hour. We also demonstrated 250 watts of source power enabling for activity improvements beyond 125 wafers per hour.

Availability continues to make progress towards the 90% less target with continued focus on reducing the variability. We now have a system configuration that provides all of the agreed product specifications which will enable us to now focus our work on executing on the planned availability improvements that will drive broad-based EUV insertion in mass production.

In addition, clear progress on the ecosystem continues as communicated by many of our customers. We have produced zero defect pellicles and our customers continue to make progress on photoresist sensitivity enabling higher wafer per hour productivity. Based on this progress, customers are now more and more confident in inserting the EUV technology in manufacturing which is clearly indicated by the continued order flow.

Our DUV business is expected to grow this year off a record revenue in 2016 fueled by the demand for our immersion and KrF products in both logic and memory. We announced our latest TWINSCAN NXT:2000 immersion system at SEMICON this past week. This new DUV immersion system features several hardware innovations that delivered improved imaging and overlay performance in support of aggressive lithography requirements on future nodes, including mix-and-match with EUV.

We are also seeing exceptional demand in our KrF products, notably in 3D NAND. In Holistic Lithography where we bring together scanner, metrology and software to provide high-value process control solutions for our customers, we expect sales to grow about 50% from last year. We have announced our latest metrology system, the YieldStar 375 featuring new optics technology that generates more accurate data at a higher speed providing increased quality data to feed the process control systems.

In addition to YieldStar metrology systems, we’re also shipping HMI e-beam systems in support of 3D NAND voltage contrast and defect inspection applications at both memory and logic customers. Product integration of HMI is progressing well with pattern fidelity metrology e-beam tools being evaluated by customers, which enables pattern fidelity control capability in support of the 7-nanometer node.

To further drive productivity improvements in the e-beam arena, we are in the process of developing a multi e-beam system that combines leading-edge e-beam technology with ASML’s unique stage and computation lithography technology.

Finally, we also closed the acquisition of a 24.9% interest in Carl Zeiss SMT. The main objective of this agreement is to strengthen our longstanding partnership with Carl Zeiss and facilitate the development of the next-generation EUV lithography system, which we call High NA, due in the first few years of the next decade.

This technology should enable the semiconductor industry to produce much higher performance microchips at a lower cost supporting customer roadmaps throughout the next decade.

So in summary, great first half of the year with strong industry demand across all market segments translating to very strong growth across our complete product and service portfolio for 2017.

In previous quarters we mentioned how we felt we passed an EUV inflection point. Now we see volume orders for all segments of the industry clearly marking an increased rate of adoption with order flow expected to continue providing significant EUV growth in the coming years. As mentioned earlier, our current view is that the positive business trends that we’re seeing in 2017 are likely to continue as we enter into 2018.

With that, we’ll be happy to take your questions.

Craig DeYoung

Thank you, Peter. Ladies and gentlemen, the operator will instruct you momentarily on the protocol for the Q&A session. But beforehand, I would like to ask that you kindly limit yourself to one question with one short follow-up, if necessary. This will allow us to get to as many callers as possible. Now, operator, could we have the final instructions and then the first question please.

Question-and-Answer Session

Operator

Of course, sir. Thank you. [Operator Instructions]. The first question comes from David Mulholland. Please state your company name followed by your question.

David Mulholland

Hi. Thanks very much. This is David from UBS. First question just on EUV. Obviously very good progress in the quarter and you put a slide in the presentation showing potentially for 15 to 16 layers that you could see EUV adoption out for 7 nanometers. I just wonder if you could let us know has there been any changes on your base case assumption for how many layers you could see EUV adoption at 7 or maybe even 5-nanometer as well in logic. And then I have a follow up.

Peter Wennink

Okay. I don’t think we currently had any reason to change our base case assumptions. We’ve put that in there because the additional five or six layers that you have highlighted right on top of the 10 that has been communicated earlier are really layers that into discussion with the customers we have identified as potential additional layers. Now that really depends on the speed with which we will be able to mature our EUV systems and drive the productivity up and the availability, because it’s all a matter of cost. I think the initial 10 are driven you could say by the lithographic needs. They just need to use EUV. The additional five to six will be a function of productivity and the maturity of the tool.

David Mulholland

That’s fair. Thanks very much. And then secondly, just one on the commentary on the installed base and field option sales. You’ve always shown very strong growth in H1 and given the commentary that was given for the full year, maybe my math’s wrong but it would suggest a bit of a slowdown in the absolute level in the second half. I wonder if you could just comment on why you feel that’s the case or maybe correct if I’ve got something wrong on that?

Wolfgang Nickl

David, this is Wolfgang. You’re right. We had an extremely strong start into the year. We have at one point 4 billion, 5 billion versus 2.1 for the total last year. So it’s an incredibly strong start. We think we’ll be up 20%. Be reminded, last year we said we think we grow that business by approximately – at a run rate of 10% which we were very close to last year but this year it’s 20%. Within that, you have approximately half service and half options. The service space is pretty stable with an upward trend. It was a function of the installed base to a large degree. The upgrades are a little bit more volatile and that has largely to do with when our customers can afford to take the upgrade. Because you got to remember some of these upgrades take their machines down for five weeks or so. And when they’re firing on all cylinders, even though they see the great impact of the upgrade down the road in the short run for their yields, they can just simply not afford to take the machines down and that is why in the second half the options piece is coming down a little bit. But having said that, we are having a fantastic year there, 20% up year-over-year and I think the trends of continuous growth there will also go into next year.

David Mulholland

That’s great. Thanks very much.

Operator

The next question comes from Mr. C.J. Muse. Please state your company name followed by your question.

C.J. Muse

Good afternoon. Thank you for taking my question. I guess first question as you look at the building EUV backlog, clearly confidence is rising with your customers. So I would love to hear from you guys to what kind of improvements you’re showing particularly on the reliability and uptime side which I think is the clear factor that is causing these guys to commit. So I would love to hear you over the last three months, what kind of data you’ve seen?

Peter Wennink

Well, I think the most important factor that drives the confidence of the customer is actually in the key performance requirements that they need. It’s in 250 watts which we showed, 125 wafers per hour. And of course we make gradual progress in the availability and in the maturity of that system that like you said on earlier locations that is going to bring us to a situation where we have that when they ramp in volume in 2019. So they know it will take some time just like the development of the ecosystem for them with photomask and with photoresist will take some time also. But the most important part that actually drove that – our confidence goes down to meeting all the key lithographic performance criteria. That drove the confidence. We now have a system that actually has all that performance in it. We just have to make them a bit more reliable and that will take a bit of time and we will know it. And there’s a whole program driving it. It’s as simple as that.

C.J. Muse

Very helpful. And I guess as I my follow-up, in terms of your commentary around memory, in particular DRAM, can you specify how much of that strength you’re seeing across the board on shrinks versus 2D NAND upgrades over to DRAM? Thank you.

Peter Wennink

Difficult question to answer. I’m going to guess in this particular case. But what we are seeing is both the combination of filling up available spots, open spots in current DRAM fabs because of the market demand and the technology transitions that are happening across the customer base. And some customers are leading in that sense and other customers are followers, but all do technology migration. It’s across the base. So it’s a mix whereby open spots in – open pedestals in factories are currently being filled because of the strong end market demand in DRAM which is particularly driven by the data centers. So it’s a combination of both. With DRAM, that’s strong. There are not that many 3D NANDs relocations happening. But that happened in the past. That happened over the last 18 months, which created this space to backfill with leading-edge lithography systems to address the rising demand in DRAM markets.

C.J. Muse

Very helpful. Thank you.

Operator

The next question comes from Mr. Andrew Gardiner. Please state your company name followed by your question.

Andrew Gardiner

Good afternoon. Andrew Gardiner with Barclays. Thanks for taking the question. I was just wondering if we could revisit the 2020 model particularly in light of the strength you’re now seeing in 2017 and your expectation heading into next year as well. If I go back to the scenarios you guys outlined at the Capital Markets Day last year, you gave us sort of four scenarios that supported the €10 billion revenue pre-HMI. But given what we’ve seen, some of the lower sort of end scenarios that you had there seems particularly unlikely. First of all, you guys have talked about sort of layer count – initial layer count expectations of EUV. You’re now saying sort of 10 layers. At the time, we were still between 6 and 10, so six layers; quite a bit lower at the low end of that range. And then similarly in terms of the end market assumptions you were using for your lower demand scenario, 20% sort of node-on-node decline in wafer starts seems particularly cautious at this point given what we’re hearing in terms of new computer applications and the greenfield build-outs you’ve highlighted in China. So given that, do you not think it’s sort of more fair to look at the two higher end scenarios that you’ve outlined there we should sort of minimize the likelihood of the two weaker ones?

Wolfgang Nickl

Well, you did a good job almost providing the answer along with your question. I think you were exactly right. We will not be standing there in New York last year telling you exactly where to pinpoint the revenue in 2020. We gave you a bit of a model with the key sensitivities and as we have explained, the two major ones when we go through all of our sensitivities are end market demand and there we have shown a little bit of sensitivity. And then you see it’s not been that much of an upside and a downside compared to the intensity of the EUV insertion where we’re seeing between a high insertion and a low insertion that was quite a bit of a different type. I think the market assumptions provided another 1 billion to the upside and then there was another 2 billion also in the EUV insertion. I think what we can say is that we were certainly more confident that we’re not going through the bottom end of the scenarios, because you’re absolutely right with everything that you’re hearing from the end markets, be it frames in memory, being it new applications, being it like autonomous driving or move towards autonomous driving, data centers, big data, analytics, whatever you hear is pointing that the market is not going to be soft. And then with the recent accomplishments on EUV if we follow it through, which is our intent, now that we have the specs met that we get the availability up. And to Peter’s earlier point, right now we’ve highlighted 10 layers, for instance, in logic that will be initial layers. As we move this further up, we have an opportunity to overachieve that number. But it’s too early to pinpoint a new number that’s why we have given you these sensitivities. But we feel pretty comfortable right now.

Andrew Gardiner

Okay, understood. Thank you. Just a quick follow-up. Wolfgang, in terms of EUV rev rec this year, sort of earlier in the year you were thinking if I recall sort of 1.1, 1.2. Is that still a reasonable number given shipments and tool performance in the field?

Wolfgang Nickl

Yes, it’s a good question. At this point, I would say that it’s going to be around 1 billion or so. It has to do with a whole bunch of things. Like you know, since last year we can recognize majority of revenue with shipments but there are still a few things that we need to defer, for instance, if the installation with a little bit if we provide a longer warranty. We have to defer a little bit there. And we also got to really look at whether the configuration at the factory test is exactly the same when we retest the system at the customer side, which in a time when you’re struggling to get all your material together can sometimes – small pieces are different at the final test at the customer. And there we have to defer again by a quarter or so. So I’d be careful there. Even though we ship 12 systems and even though we get some deferred revenue from the past, we will still have to defer a bit of the revenue into 2018. So if you want to be on the safe side, I would count on 1 billion right now. Of course, this has the effect now that we have a stable configuration next year. We’re going to recognize in the same year plus we then have a catch up. We’ll have a deferred revenue balance at the end of this year. So next year not only will the shipments go up from 12 to 20 plus for upgrades but we’re going to get a couple of 100 million on deferred revenue. So I would plan on 1 billion right now, Andrew.

Andrew Gardiner

Okay. Thank you, guys.

Operator

The next question comes from Mr. Alex Duval. Please state your company name followed by your question.

Alex Duval

Hi, everyone. Alex Duval from Goldman Sachs. Congrats on the strong quarter. Just a quick question on HMI. You’ve talked a bit more about the innovations ASML is driving to combine e-beam with your computation lithography. Wondered if you could give a bit more color on the key technical aspects you’re working on? What’s the feedback been from customers you’ve been discussing with it? And anything else important on the solutions so far? Many thanks.

Peter Wennink

Thank you, Alex. The development that we’re seeing there is that we’re executing as planned as part of the acquisition on the combination of the ASML lithographic – actually the Holistic Lithographic computational competence with the e-beam competence that HMI has in the field. Now the combination of those two, the first product will be shipped in the second half of this year and will be evaluated by three customers. With positive evaluation, we will then start to ship that product in 2018 which will be a single beam tool combined with the ASML computational lithography competence. Now that will be an intermediate solution and will be focused on defect inspection or wafer inspection. And that will follow by a more let’s say economic solution which will involve multi beam which actually has the advantage of being able to inspect the wafer much faster, which will bring the cost of the inspection down. But also you could – so array or the service that you can inspect will also go up, which could have a very positive impact on the customer yields. So that is that product. That is then scheduled for the year thereafter, so after next year whereby it’s not only the multi beam column, because if you have a capability to move the wafer faster, you’ll also need faster stages. And lo and behold, there is one company on this planet that’s very good in fast stages and that’s ASML. So I think fast-stage technology combined with good computational lithography combined with a multi e-beam solution will get a very powerful solution for wafer inspection going forward.

Alex Duval

Very helpful. Thank you.

Operator

The next question is coming from Mr. Mehdi Hosseini. Please state your company name followed by your question.

Mehdi Hosseini

Thanks for taking my question. Just going back to EUV revenue recognition, how should we think or how should we model deferred EUV revenue by year end '17? And as shipments starts in '18, should we think of revenue recognition on these EUV systems happening at the earlier time or another words, would deferred revenue start to go down? Then I have a follow up.

Wolfgang Nickl

Mehdi, hi. You’re right. For next year on the shipments, you should clearly think of the revenue in the same period as the shipment. And then we currently think that we’ll have deferred revenue balance of up to 500 million at the end of this year. So again, next year you will very likely see our revenue be higher than the number of the shipments times the price, because all the deferred revenue is coming in next year.

Mehdi Hosseini

Great. And then a follow up regarding the DUV business. Your booking for the June quarter was down 4% excluding the EUV. And in that context, should I assume that DUV shipment in the second half of the year would be flat to down compared to the first half?

Wolfgang Nickl

Yes, you can do the math if you take what we said with the 25% and then you take the EUV shipments and installed base revenue a little bit down in the second half. The end of the story is DUV in the second half is not going to be too different from what it was in the first half. I think we said also in the call that this business was already very, very good last year and we’re up another 15% or so. And I wouldn’t put too much weight of be it, it gives you some info on the structure of the backlog, but I wouldn’t put too much on the details on the bookings there. Although we get asked a lot about it [indiscernible] next year, but we see trends continuing in 2018. So EUV is also going to be strong next year.

Peter Wennink

And to add to that, I mean if 4% down quarter-over-quarter, you know how our quarterly bookings vary. We have only a few customers and they tend to send those orders in by batches and then 4% -- single digit, low single digit percentages in my mind are completely meaningless.

Mehdi Hosseini

Got it. Thank you.

Operator

The next question comes from Mr. Farhan Ahmad. Please state your company name followed by your question.

Farhan Ahmad

Hi. Farhan Ahmad from Credit Suisse. Thanks for taking my question. My first question is on memory shipments that are up 50% year-on-year. Can you just talk about how much of the growth is driven by an increase in capital intensity and EUV? And how much of it is really going to drive the demand higher this year? And also if you could touch on what percentage of good growth do you expect in NAND, DRAM market this year based on the shipments that you’re supporting? That would be it.

Peter Wennink

Could you repeat the first question because I thought you’re talking about memory and then growth in capital intensity but I thought you said EUV?

Farhan Ahmad

Yes, so 50% growth that you have in memory shipments that have some EUV component in that as well I’m imagining. Please correct me --

Peter Wennink

No. When you think about the growth in capital intensity, the 50% growth is really DUV. We do take some EUV memory orders but that is not for capacity additions. That’s really for ramping up and qualifying the product and the ramp would be the end of second half of 2018 and going into 2019. So that is not driven by any EUV shipments. It’s really DUV and particularly strong in DRAM. And that is like I said in the prepared remarks also but I’d like to repeat it. It’s not a major surprise if you think about it. DRAM supply and demand curves are – then I talk about the end product, the DRAM device, are really driven by the capacity situation and the end demand. And in a situation like we had in 2014 going into 2015, we had two major fabs in Korea. M14 and L17 came online, started to take as many tools as they could to fill up the fab. We got a big step up in capacity where of course the end demand doesn’t follow that step curve. And then so you have a period in which there is a supply and demand imbalance and at least lower DRAM prices, which actually at the moment in time memory makers that could also see strong demand in 3D NAND started to relocate capacity out of DRAM into 3D NAND. Now that’s end demand driven by strong data center demand. When that goes up, we’ll catch up. And that has actually happened the second half of last year leading to increased DRAM prices and that is not a surprise then that those empty pedestals where previously they had litho tools that are now in 3D NAND are being backfilled with the technology transitions on top of that. And that is creating the strong demand this year are also driven by a strong end market where the data center demand is very strong for leading-edge DRAM. Now what does that mean for bit growth? Well, bit growth, 26% is what market research firm say, now listening to customers that might come up with some different numbers. But trying to predict those numbers is very dangerous because last year we saw market research firms talking about DRAM growth rates and then talk about the end demand growth rates of lower than 20% ended up in the high 20s. So difficult to predict. I would suggest we keep looking at the DRAM prices. The DRAM price, it is a commodity. It’s a reflection of the supply and demand balance. And currently there is some under supply and that’s for sure.

Wolfgang Nickl

And I think for NAND, we expect around 40%.

Peter Wennink

Yes, roughly 40% -- we expect nothing. We just repeat what other people are saying which is around 40%. But who knows.

Farhan Ahmad

Got it. And then on EUV, I find in the presentation that you posted online that there is a mention of unidirectional and bidirectional design. And just based on the industry chatter also, it seems at least one of the customers who picked up the activity on doing bidirectional design. So I just wanted to ask you in terms of the insertion of EUV, how does it affect the opportunities for you, whether it’s bidirectional or unidirectional? And is it fair to think that if it’s bidirectional then there would be a lot fewer steps that are needed for double edge [ph]?

Wolfgang Nickl

Well, I think it doesn’t really matter. And what I can – refer to Slide 17 and it shows what you’re referring to is that 3D patterning, one EUV exposure; 2D patterning [ph], one EUV exposure. That’s the same. So it really doesn’t matter. I’m not going to comment on that double edge because we’re not experts on it. But from an EUV point of view, there’s no issue.

Farhan Ahmad

Got it. Thank you. That’s all I had.

Operator

The next question comes from Mr. Douglas Smith. Please state your company name followed by your question.

Douglas Smith

Hi. It’s Doug Smith from Agency Partners. From my tracking on the industry, it looks as though the percentage of litho spend versus total wafer fab equipment has dropped a little bit the last, maybe two years or so. First of all, do you agree with that assessment? And second, do you imagine that going forward that litho intensity might go back up again?

Wolfgang Nickl

Doug, this is Wolfgang. I got to disappoint you there a little bit. We are not big trackers of this metric. We’re solely focused on our 11 billion and the potential upsides to that. And the reason why we’re not focusing on this too much is two-fold. Number one, historically, the customer CapEx has not shown a strong correlation to our own revenue in a given year. But more importantly, it is something that is very difficult to normalize because you got to just think about FX, for instance. I mean two and a half years ago, the exchange revenue was $140 and then it went down to $110 per euro and all of a sudden the litho spend looks lower but it has nothing to do with our business. It’s just a different exchange rate. Secondly, if you heard earlier in the call, we have a very specific strategy to provide upgrades to our customers that we have in field options and services and they don’t necessarily count in the different people’s calculation on adding up these numbers. I think from that perspective we are not looking at it but we’re not worried about our share on the overall spend. We’re worried about executing our roadmaps and getting to our revenue and it will be whatever share of whatever somebody puts together.

Douglas Smith

Got it. Then perhaps I can ask another question, like a more technical question and that is we’re seeing a lot of chips made these days using full reticle sizes, 800 plus millimeters. Is that going to be a problem for the current generation of EUV to print such large chips? And how about for High NA where you’re using anamorphic production?

Peter Wennink

Yes, I think not for the current EUV generations. Potentially for the High NA, but we don’t think it’s going to be a major issue the discussions we’ve had with our customers, that’s being addressed. It also has to – it’s a matter of design parameters that you can take into consideration. So that’s the sole part of the equation of why High NA is an economic solution or not and everything that we currently calculate, and I think our customers agree with us that the High NA specification which includes in the different mask size is very likely. I’d point you into the direction that it’s highly economical to do it.

Douglas Smith

Okay, great. Thanks.

Operator

The next question comes from Mr. Sandeep Deshpande. Please state your company name followed by your question.

Sandeep Deshpande

Hi. Thanks. My question, Peter, is on 2019. Clearly now you have orders in your book for 18 of the 20 orders – or 20 tools that you’re going to bid in next year. How do you see 2019 – are you already talking to your customers about 2019, because some of your customers have indicated 7 nanometers starting with as much as eight plus layers. So some of that will have to be shipping in the first half of '19 as well as some of the memory customers. So maybe you can make a comment on 2019 conversations you’re having with customers. And I have a quick follow up after that.

Peter Wennink

Yes. Well, I think you’re absolutely right. 27 systems in the order book with the shipment pattern as we gave you means that we’re virtually done for 2017 and '18. So everything moves into 2019. Now with the order lead times that we’re currently having, yes, you’re absolutely right. The order discussions that we’re currently having are about 2019 and that’s the case.

Sandeep Deshpande

And people are giving you indications in terms of what they might be building at this point?

Peter Wennink

I think when you add it all up, you see 2019 and what we ship in 2018, I think we’re currently seeing – we’re currently added up. It’s around 30 units, could be a bit more than that that they need for 2019, which by the way could be almost similar to what we’re currently looking at or we can ramp in terms of our old capacity. We’ve said before we will ramp out capacity double-double from 2018 to 2019. That is true for our built capacity here in Veldhoven but we’re seeing one or two quarter delays in that capacity in the supply chain. So that means that that capacity build up of let’s say 45 systems capacity is really there in 2020. But in 2019, we see one or two quarters delay. So that – around 30 demand, if I add it all up today, that would probably nicely fit what our capacity is. It could be a bit more than 30, but it’s a bit too early to give you a final number. But since you asked me the question, I added up today and that’s what the number is.

Sandeep Deshpande

Okay. Thanks, Peter. And just a quick follow up for Wolfgang. Wolfgang, in terms of the numbers that you’ve given at the Analyst Day last year, the moderate case was 11 billion in sales and €9 plus in EPS. If you actually just rollout the numbers that you’ve printed in 2Q, you’re well ahead that on a full year basis already. So would you need to give new guidance at this point or you think that you are at a much higher level in terms of demand or something else has changed in terms of demand at this point where we are in the cycle?

Wolfgang Nickl

I’m not sure whether you were on early in the call. We tried to address that. We’re not changing the 11 billion right now but we pointed towards sensitivities we’ve showed last year in New York. And I think you can at least at this point conclude that the bottom end of the scenarios both on the demand side and on the number of litho layers seems more and more unlikely. If there’s any bias, I think everybody would say now that we have an upside opportunity but let’s just get this year under our belt and next year and at the appropriate time we’ll give you a formal update on the model with new sensitivities. But like I said earlier, we’re feeling pretty comfortable about those levels at this point.

Sandeep Deshpande

Understood, and sorry about that question. Thanks.

Wolfgang Nickl

No worries.

Operator

The next question comes from Mr. Amit Harchandani. Please state your company name followed by your question.

Amit Harchandani

Good morning and good afternoon, everyone. Amit Harchandani from Citi and thanks for taking me on. Two questions, if I may. The first one really is a clarification to what was said earlier. So if I understood correctly, Wolfgang, did you indicate that the deferred revenue for next year would be around 500 million and also the shipments next year would see revenues being fully recognized? And so if I assume, say, 100 million per tool, does that imply 20x100+500, we are looking at a revenue of 2.5 billion. Could you just help me --?

Wolfgang Nickl

Your math reflects what I said.

Amit Harchandani

Okay. Thank you. That’s helpful. And also given that there is 500 million of deferred revenue, wouldn’t that have one-off implication for the gross margin because most of that would be the fairly high gross margin?

Wolfgang Nickl

Well, also there it certainly helps but we knew that. We have said we’re coming on a journey from minus 75% last year to 40%. So now you can argue whether it makes the breakeven a little bit tougher this year and the 20% a bit easier. But in general, it has a little bit of a relocation left and right but it doesn’t change our trajectory that what we have in mind is really 40%. We need that to get to our greater 50%. And we always said for the biggest variable there is the volume and the second biggest variable is the serviceability of the tool and then we have – with the learning curve and avoiding E&O. And with the tool now performing through the specs and that enabling us to “phrase the spec” and really work on availability that makes us really much more comfortable also on the financial side and we can deliver on that 40%.

Amit Harchandani

Thank you. And just for the second question with respect to the supply chain with a comment I think Peter referred to earlier, just wanted to understand are you looking at potentially helping your suppliers or supply chain build up capacity that gives you the flexibility to potentially ship more EUV tools by 2019? Or in other words, is that already starting to merge as a constraint in your view?

Peter Wennink

I think the ramp up – it’s a good question. I think the ramp up in the supply chain of course when we said customers turned the corner, but the order floor is also a good evidence of it and it’s also has ignited a lot of activity in the supply chain. However, going from a very low level to then ramping it up here and there doesn’t always go as smooth as we would want. That’s why I alluded to a one or two-quarter delay to the capacity ramp to let’s say 40, 45 units. And that’s where we are. And I think it’s not so much a measure of money. Money doesn’t always help. It’s just the matter of can you get the people on time trained, can you get the materials on time with long lead times. And then you could argue will help you to get people in faster but the learning curve is the learning curve. So these are the kind of things that we’re driving together with our suppliers. And this is what the current state it’s in. Now rest assured, we’ll do anything to get more out if the demand is higher and we’ll just push everything that we can. But I’m afraid money alone will not do it. So it has to be people, knowledge and how far we can push it is too early to say.

Amit Harchandani

Thank you, Peter. Thank you for the color.

Operator

The next question comes from Mr. Jagadish Iyer. Please state your company name followed by your question.

Jagadish Iyer

Thanks for taking my question. Two questions. First, Peter, one of the things that some of the companies were involved in multi patterning have been stating is that EUV insertion will initially happen for vias and cuts. But looking at the cartoon on Slide 17, it looks like your insertion is going to be for metal lines and spaces. Just want to understand the disconnect where we are on that in terms of that?

Peter Wennink

You should ask the other companies.

Jagadish Iyer

Okay. So the second follow-up question I wanted to ask you is that you did mention in your prepared remarks about China where you said there are about five fabs. How would you characterize between the need for leading edge versus the trailing edge there? Thank you.

Peter Wennink

That’s a good question, an interesting question. It is not the case at all five of those customers are all leading edge. And then you have to define what is trailing edge? If you would say in logic 28-nanometer and below, you qualify as leading edge, then all the logic is leading edge. Now for memory at two DRAM initiatives there that are definitely I would call them leading edge if I look at the nodes that they are focusing on. And in 3D NAND, it’s 3D NAND. What’s leading edge? It’s the number of layers. And I think that is where that we’ll start on the learning curve and will not be immediately at 64 or 72 layers but it’s going to be a learning curve. Now you have to put that into perspective of why China has taken this step. China has taken this step from a very strategic point of view in a discussion we have had, it became very clear that the reason why the stepping up is – let’s say investment in leading edge capacity is because of the dependence that they currently have on non-Chinese companies who provide China with the right technology. And the geopolitical situation has not become more stable or more reliable or more trustworthy from their point of view. So China has decided that leading technology and leading edge technology should also be local. And that fits perfectly in the five-year plan if you read their five-year plan. That’s what they want. So I don’t think that the investment money will be allocated to those companies that are focusing on trailing edge technology. That’s not going to happen. China is going to be all leading edge.

Jagadish Iyer

Thanks for the clarification, Peter.

Operator

The next question comes from Mr. Robert Sanders. Please state your company name followed by your question.

Robert Sanders

Hi. It’s Deutsche Bank. A quick follow up for Wolfgang on this deferral question. So how should we think about Q4 gross margin given the deferred revenue into 2018? And then I guess flip of that is how should we think about 2018 gross margin given this other skewing effect? Then I have a follow up. Thanks.

Wolfgang Nickl

I think it’s a little bit early to hash out. We haven’t given revenue guidance for Q4. I think I’m not going to start with gross margin guidance. In general, it’s clear that next year even if we – I think I said before, if we defer revenue, in general it makes it a little bit more difficult to get to the breakeven but it makes it more simple to get over the 20% next year. So it’s a bit of a positive effect. But next year it just depends on – we got to just see the overall business and it depends on the rest of the business, right? Because – and I think Amit did the math before. If you’re going from 1 billion to over 2 billion and even if you go from around breakeven to 20%, it’s still far below the average of the rest of the business. So without a specific number, which I’m not going to give today on the non-EUV business for 2018, I can’t answer the gross margin question either. But I think the most important thing for us is what I said earlier. Our confidence in EUV volume and EUV gross margin is growing. Our gross margin in DUV, in CLS, in HMI, in applications is healthy. It’s exactly where we need it to be and therefore we feel very comfortable that we get over 50% in 2020. But it’s too early to talk about Q4 and next year specifics, Robert.

Robert Sanders

Got it, fair enough. I just had a follow up on the 250 watts demo. It looks like you’re going to get that in the field by 2019. So given that that’s beyond the performance spec, how will you monetize that? I assume through a software upgrade. But how should we think about the value of that software upgrade in terms of when you look out to 2019? Thanks.

Peter Wennink

Yes, I think it’s a good question. One correction I think 250 watt is not going to be 2019. We have 250 watt now. We have the modules that will be inserted in our tool shipments by the end of the year which will provide our customers with 250 watts or will be available as of next year. So one. Two, as you know as you’ve been following the company for a long time, the value of our tool is really driven by the ability of our customers to keep cutting cost, cost per wafer. And the bigger driver there is productivity. So if going forward we can improve, for instance, the transmission of the lens, we can improve the transmission of the pellicle, which all takes there – currently takes a way bit of light. Like I said in the prepared remarks, customers are progressing on the sensitivity of the photoresist. These are all things that are actually helping to get more light on the wafer. When you get more light on the wafer, you move to wafer faster. When you move to wafer beyond 125 wafers per hour and you can guarantee that, then the tool provides more value. And that’s exactly how we’re going to do this and this is a general concept which customers accept. Now when we give them instead of 125 wafers per hour, 145 then we’ll charge a higher price because we basically split the value of that 20 extra wafers, 50% for the customer, 50% for ASML. So that will also mean a higher sales price for the EUV system. But that happens after we can guarantee over 125 wafers per hour performance which is the function of the things that I just mentioned.

Robert Sanders

Got it. Thank you.

Craig DeYoung

Ladies and gentlemen, I’m afraid we’ve run out of time today. If you’re unable to get through onto the call and still have a question, feel free to contact ASML Investor Relations department and we’ll get back to you as quickly as we can to answer your questions.

Now on behalf of ASML’s Board of Management, I'd like to thank you all for joining the call today. And Peter, if we could formally conclude the call, that’s be great. Thank you very much.

Operator

Sure. Ladies and gentlemen, this concludes ASML 2017 second quarter financial results conference call. Thank you for participating. You may now disconnect.