ASML Holding NV Management Discusses Q3 2013 Results - Earnings Call Transcript

October 16, 2013

ASML Holding NV (NASDAQ:ASML) Q3 2013 Earnings Call October 16, 2013 9:00 AM ET

Executives

Craig DeYoung - Vice President of Investor Relations - ASML Tempe
Peter Th. F. M. Wennink - Chief Executive Officer, President, Interim Chief Financial Officer and Member of Management Board

Analysts

John W. Pitzer - Crédit Suisse AG, Research Division
Francois Meunier - Morgan Stanley, Research Division
Timothy M. Arcuri - Cowen and Company, LLC, Research Division
Mehdi Hosseini - Susquehanna Financial Group, LLLP, Research Division
Gareth Jenkins - UBS Investment Bank, Research Division
Simon F. Schafer - Goldman Sachs Group Inc., Research Division
Sandeep S. Deshpande - JP Morgan Chase & Co, Research Division
Andrew M. Gardiner - Barclays Capital, Research Division
Mahesh Sanganeria - RBC Capital Markets, LLC, Research Division
Didier Scemama - BofA Merrill Lynch, Research Division

Operator

Ladies and gentlemen, thank you for standing by. Welcome to the ASML 2013 Third Quarter Conference Call on October 16, 2013. [Operator Instructions] I would now like to turn the conference over to Mr. Craig DeYoung. Please go ahead, sir.

Craig DeYoung

Thank you, Stel, and good afternoon and good morning, ladies and gentlemen. This is Craig DeYoung, Vice President of Investor Relations at ASML. Joining me today from the ASML headquarters here in Veldhoven, in the Netherlands, is Peter Wennink, ASML's CEO.

The subject of today's call, of course, is ASML's third quarter 2013 results. This call will be broadcast live over the Internet at www.asml.com, and a replay will be available on our website for approximately 90 days.

Now before we begin, I'd like to caution listeners that comments made during this conference call by management will include forward-looking statements within the meaning of the federal security laws. These forward-looking statements involve material risks and uncertainties. For a discussion of risk factors, I encourage you to review the Safe Harbor statement contained in today's press release and presentation, found both on our website at www.asml.com and in ASML's annual report on Form 20-F and other documents as filed with the Securities and Exchange Commission.

As always, the length of the call will be

Peter Th. F. M. Wennink

Thank you, Craig, and welcome to everyone. I would like to take, first, a few minutes at the beginning of our call to provide an overview and some commentary on our third quarter results, our business outlook and our product strategy going forward.

The third quarter results came in very much as guided and were largely driven by foundry sales at 60%, with memory system sales following at 40% of total system sales. The core 2 shipments were again highly skewed towards emerging systems at 88% of total system sales, driving the ASP of all systems sold in the third quarter to a record high of EUR 28.2 million versus EUR 24.1 million in the second quarter.

Service and field option sales grew significantly to close to EUR 360 million, now including 3 months of Cymer service sales, as well as higher performance-enhancing field option sales.

Third quarter bookings came in at EUR 1.4 billion for 51 systems, excluding EUV, with booked ASPs at around EUR 27.7 million, impacted again by a high percentage of emerging systems with relative strength in all sectors.

Our system order backlog at the end of Q3 grew further to EUR 1.8 billion, with total systems growing to 59 systems, excluding EUV.

The backlog profile at quarter end is nicely balanced between all major sectors driven by increased memory and IDM bookings.

As a reminder, we are now guiding the combined ASML and Cymer businesses as one business. For Q4, we expect total sales to be at a record high of about EUR 1.8 billion with a gross margin between 43% and 44%, including an impact for noncash purchase price accounting adjustments related to the Cymer acquisition, without which, our gross margin would've been about 1.6% higher.

The short-term impact caused by the noncash purchase price accounting adjustments will cease after Q4.

In the fourth quarter, we expect to recognize revenue for 1 of the 3 EUV systems that we expect to ship this year. The first series of such systems will not contribute to profit due to the low utilization of our EUV manufacturing infrastructure, early learning curve costs in our supply chain, and directly resulting from the Cymer acquisition, at the cost of the liability to upgrade the first 11 EUV sources in the field, which cost is now assumed by ASML. Excluding the 1 NXE:3300B, the Q4 gross margin would also be about 1.6% higher.

Combined R&D for the quarter will be about EUR 255 million and other income, which again consists of contributions from participants of the Customer Co-Investment Program, of EUR 17 million.

Combined SG&A is again expected about EUR 90 million, including EUR 10 million of final restructuring charges not occurring after Q4.

As to our business status, Foundry customers are demonstrating sustained litho system requirements and continue with aggressive deliveries in Q4 for volume ramp of the 20 to 16 or 14-nanometer combined nodes. In addition, we have seen healthy order patterns from a broad base of DRAM makers, mostly for technology upgrades due to what appears to be strong demand for mobile DRAM.

We are also now seeing the previously anticipated NAND orders for fab capacity additions that have been planned for some time. Deliveries will begin in this quarter and will continue into the first half of 2014. This quarter's order strength, along with expected continued strength in Q4, gives us good visibility into the first half of 2014. Based on these indications, we believe that sales levels in the first half 2014 will be similar to those of the second half of 2013, both excluding EUV.

We see growing demand for our Holistic Lithography YieldStar metrology solutions and expect that our combined Holistic Lithography product sales could grow towards 10% of sales. We have shipped just over 100 systems since the product introduction. These metrology systems generate data for our Holistic Lithography products that control overlay, CD and focus for the most advanced and complex process nodes of our customers.

EUV system integration work continues to progress steadily, with source and integrated system stability now being the main medium-term focus in support of our current 2013 and '14 shipment plan.

We now expect shipment of 3 NXE:3300B systems this year with the first systems in the process of shipment and installation at customer sites.

In the fourth quarter, we expect to recognize revenue for 1 NXE:3300B system. The delay of revenue recognition for 2 EUV systems to early 2014 was caused by the delayed delivery and qualification of some system components.

Despite the lower-than-planned revenue recognition of EUV systems, we are still able to meet our previously guided 2013 revenues of up to EUR 5.2 billion, due to the underlying strength of our non-EUV business, as mentioned earlier.

In last quarter's conference call, we discussed the company's trajectory, which is based on the execution around a very significant R&D investment for technology leadership, execution of the strategy in pursuit of continued cost-effective shrink for our customers, supports the continuation of Moore's Law, and involves 4 pillars: First, it is the continued significant performance improvements of our current XT and NXT architectures, which will enable the most cost effective, leading-edge imaging solutions, addressing imaging complexity over the 20, 16 to 14-nanometer nodes and the related multipath patterning strategies. Secondly, the buildup of our application products, enabling and differentiating further our NXT products in support of sub-20-nanometer process control challenges, many of them related to aforementioned multipath patterning strategies. Third, the timely introduction of EUV, which is the next-generation litho technology necessary to enable continued die shrinks in accordance with Moore's Law, thus producing large cost reduction, power savings and further device performance improvements. And fourth, the capability to ensure, cost effectively, the next wafer size, 450 mm, when the industry requires it.

We have begun delivering our new NXT:1970 in support of our customer needs for multipath patterning at advanced logic nodes. This machine maintains world-leading performance of 250 wafers per hour, with overlay achievements at less than 2 nanometer, along with a suite of application process control product packages being adopted in full at a leading foundry logic device manufacturer.

By providing these capabilities, we will benefit from the current semiconductor process complexities for 20-nanometer logic and DRAM, and from the added complexity of inserting FinFET transistors at this stage.

The complexity of vertical NAND also requires best available overlay and focus capability, and will furthermore require a significant number of emerging systems, as NAND chip volumes will grow.

Regarding EUV, light sources for our product -- for our production where the NXE:3300B scanners are being integrated for automated and reliable productivity at customer development fabs. We remain on target to provide 70 wafers per hour performance next year, upgradeable to 125 wafer per hour in 2015.

We are now also fully engaged in planning discussions on EUV insertion with logic customers who are seeking the most cost effective and lowest risk lithography solutions for the next-generation product and processes.

We have learned from very recent discussions with leading logic customers that they have succeeded to develop 2 lithography introduction strategies for the 10-nanometer node. They will either use a very intensive immersion-centric approach, or an EUV-centric approach for the 10-nanometer critical layers.

This successful development of a double-litho strategy approach will allow our logic customers to disclose designed rules to their customers in early 2014 for the next node and to begin this next node development on known lower-risk immersion-based processes, while at the same time closely monitoring EUV performance improvements for potential layer-by-layer insertion when those improvements become available throughout the first half of 2014.

This allows an extra 6 to 9 months for a final decision on the EUV introduction strategy through the second half of 2014, instead of the end of 2013, as originally planned.

Since the benefits of EUV are clearly understood and any future node will surely require a significant amount of EUV, customers are indicating that they are likely to introduce EUV as soon as possible, in order to gain experience in the full industrialization of EUV into manufacturing.

And as mentioned in the previous quarter, the most likely implementation scenarios for EUV appear to be Phase 1 in foundry logic, 1 or 2 layers of EUV for the 10-nanometer node to deliver tighter shrink, better yields and shorter cycle times. With this, we estimate first phase base demand for approximately 12 to 15 EUV units in 2015.

In this scenario, a significant number of immersion tools will be additionally required for those critical layers not handled by EUV.

Then Phase 2. When the throughput levels of the current roadmap are achieved, then more EUV layers in foundry logic will deliver additional process control, yield cycle time and cost savings. Additionally, up to 3 layers in DRAM will be supported with these throughput levels, and we will then expect to ship our maximum EUV capacity of 25 to 30 units in 2015.

Now based upon the EUV progress over the last few quarters, customers have intensified their cooperation with us, allocating investments and resources focused on potential insertion of EUV in leading processes.

We will continue to work closely with our customers to determine the most vital insertion points and timing, such that a smooth transition to this necessary new technology is made.

And with that, I'd like to conclude. And I will take -- like to take your questions.

Craig DeYoung

Thanks, Peter. Ladies and gentlemen, the operator will instruct you momentarily on the protocol for the Q&A session. [Operator Instructions]

So now, Sal, could we have your instructions and then the first question, please?

Question-and-Answer Session

Operator

[Operator Instructions] Our first question comes from John Pitzer from Credit Suisse.

John W. Pitzer - Crédit Suisse AG, Research Division

Peter, my first question is just around the Holistic Lithography. You talked about the target towards 10%. When do you think you're going to achieve that? And I guess, help me understand the gross margin implication as you can attach more Holistic Lithography to shipment sales.

Peter Th. F. M. Wennink

Okay. The 10% of sales, we have target to reach it by the end of next year. And as I mentioned earlier, the -- you will find those sales back into the ASP increase of our NXT products and especially our leading-edge products, because they will be part of the configuration, and you'll see it as part of the field option and system sales. As to the gross margin, as there's a lot of software in there, and you can already see in our guidance what it means for our core business. When you look at the Q4 guidance, we guide between 43% and 44% gross margin. We have this accounting issue on the purchase price accounting, which is a -- has a noncash adjustment, which will go away at the end of Q4, which is a 1.6% impact. And EUV also has a 1.6% impact. So when you carve out our core business, which is what the company is today, then you have a 3.2% impact in Q4. If you add that back to the 43%, 44%, we are between 46% and 47% margin which, as you know, is something we've never seen before. And that is, for a part, driven by the fact that those application products which are increasingly required and to address the process complexity at the 20-nanometer nodes, that is what is driving the gross margin up. So they are, in short, significantly above the average corporate gross margins, and help to stimulate the gross margin of our core business.

John W. Pitzer - Crédit Suisse AG, Research Division

Very helpful, Peter. I guess as my follow-on, can we just drill into the gross margin expectations on the EUV systems? How should we think about that for next year? And then more importantly, how do gross margin scale as you get more volume and get up the learning curve?

Peter Th. F. M. Wennink

When we -- when you -- your first question is on the gross margin next year. Like we said in the introductory, but also was mentioned in the press release and in the introductory statements, we have always assumed as about a 20% gross margin on the systems that we are shipping as part of the first series. With the acquisition of Cymer, we also assumed the cost of the upgrade liability that Cymer had towards ASML. That is now part of the ASML cost structure. And if only the upgrade liability for the first 11 systems, so it's basically the 3300 systems being upgraded to the 3350, and those costs are now hitting the EUV gross margin for the first 11 systems. So the first 11 systems do not contribute to profit. Afterwards, we will be at the gross profit targets that were mentioned before. So low 20s, that is our target after the first 11 systems. And then we need about 2 years to get to gross margin targets that are in the vicinity of our corporate average, which is not changed from what we said before.

Operator

Our next question comes from Francois Meunier.

Francois Meunier - Morgan Stanley, Research Division

Yes, I've got a question about the EUV laser source. And I think some people were expecting 80 watts, maybe now, maybe later in the next few months. I mean, especially your customers to take decision, how many EUV layers they would use in the next several years. So what's going on with the laser at the moment at 80 watts? And is there any reason to believe that the number of EUV tool shipments in 2017 will be less than 5 units a month?

Peter Th. F. M. Wennink

Okay. Those are many questions in one question. So with respect to the EUV laser situation, there has been and there is a very singular focus on the wattage, which basically where we are today, is not the main focus that we have. We will get to 80 watts. The issue that we currently have, and is also shown as you mentioned in the introductory statement, is that we have a pushout of 2 systems, basically driven by the delayed receipt of components and the qualification of those components, which are very important to create a stable EUV source. So stability is our key focus today. And when we reach that stability and get those qualified components in on time, which actually led to about 1 month delay in this quarter or the last quarter, that means that we will have -- sorry, actually, somebody just asked something. That actually means that we will, in that particular case, ship our units starting the end of this year with about 3 units. And we are focusing, like I said before, on making the 2 stable. And this stability is our key focus. Now our tax rate and our factory is in need of a stable and an upgradable source. And we're not going to ship anything to our customers, that our customers will have difficulties running reliably in their development fabs. This is a decision we have taken together with customers. And you could argue that, that is, indeed, less than what we expected 3 months ago, and it is frustrating to us also. And like I said, it will have to do with the modules and the components that we're getting though the supply chain than it has to do with any fundamental issues of reaching the 80 watts. We will reach the 80 watts. We will reach 70 wafers per hour. We will reach 125 wafers per hour. But it is more the integration stage that we are currently in that is providing us these issues. Now this has been closely coordinated with customers. In the meantime, customers, because we have been so closely coordinating, these customers have developed, what I called in the introduction statements, a double-litho introduction strategy for the 10-nanometer node, which effectively means that there is a immersion-centric node, which is a solution that is focused on multiple path patterning immersion. And there is an EUV-centric node, which is focused on the introduction of EUV at the critical -- at those critical layers. Now the customers will start with this lower risk immersion-based 10-nanometer node solution, but they will very closely monitor with us, based on the stability of the machine, they will very closely watch what will happen in the first 6 months of 2014. Why is that important? Because it effectively means that customers can present their design rules to their customers, which are due at the beginning of 2014, in an either-or solution. So they have actually created additional time for us and them to work together, so that the ultimate EUV decision, as you pointed out, was expected to be at the end of this year, is now the second half of 2014. So we have created space and time to make sure that we will have a stable EUV source that will produce 70 wafers per hour in the course of 2014. Now this is the situation where we are today. So in summary, the wattage is not the issue. The issue is currently getting in the right level qualified components in the supply chain that will make the new architecture, the mobile people's architecture for the EUV source work. This is what we're focusing on today, which is, by the way, planned in this period. So in that sense, we are doing what we actually plan to do. We do not focus that much on the 80 watts. We are focusing on reaching the 70 watts by the middle of next year. And due to the fact that our customers have developed this dual-litho strategy, whereby they can present the 10-nanometer design rules to their customers, has created time and space for the development of the EUV solution at 70 wafers per hour. And this is where we are today. I think it's a long answer, but its effect tries to summarize where we are. I hope that is clear.

Francois Meunier - Morgan Stanley, Research Division

Yes.

Peter Th. F. M. Wennink

Good. Now on 2017, like I said in the introductory statement, there are, in fact, 2 phases. The first phase is based on the better shrink capabilities and the better yield opportunities. There is a first phase node you could say that encompasses about 12 to 15 units in 2015 that only addresses 1 or 2 layers for the 10-nanometer logic node. Now the second phase is whereby when we reach the throughput roadmap, that we have currently on the roadmap, which is 70 wafers per hour in 2014 and 125 in 2015. When we reach that, and like we have said, we have created with our customers time -- more space and time to get there by the middle of 2014, then more layers will be adopted on EUV, and also DRAM at 60-nanometer will come into play. That will mean that we need our full 25- to 30-unit capacity in 2015. So when that happens, then 2016 and '17 are as earlier guided. But like I said, this first phase needs to happen first. And when we execute on the throughput roadmap, there will be a second phase, leading us to the 25, 30 units and the 50- to 60-unit potential for 2016 and '17. So the first half of 2014 developments and the coordination with our customers will drive their change and will drive their choices. So that will be particularly important over the next 6 to 9 months. Is that clear, Francois?

Francois Meunier - Morgan Stanley, Research Division

Yes, that was very clear.

Operator

Our next question comes from Mehdi Hosseini from SIG.

[Technical Difficulty]

Yes, yes, I'm just trying to locate the speaker, the person who's asking a question. Bear with me one moment. Okay, I'm just going to go into the next question. So the next question comes from Timothy Arcuri from Cowen and Company.

Timothy M. Arcuri - Cowen and Company, LLC, Research Division

Peter, I just wanted to ask a question on the answer that you just gave. So on 80 watts, we're sort of pushing that up maybe 1 month or so, just due to the ability to get the components from the supply chain. But you had previously said that it would take you 2 years to upgrade to 250 watts, which was sort of implying kind of a 2016 for that. Now you sound more confident, actually, in being able to get there within one year, which would sort of imply 2015 to get to 250 watts. So maybe a little bit pushout near term, but a little more confidence longer term. I guess I'm wondering, a, is that the right read? And b, what gives you the confidence in that, in being able to pull in that longer-term target to get to 250 watts?

Peter Th. F. M. Wennink

Well, just to be clear, Tim, the 250 watt or 125 wafer per hour has always been on the roadmap for 2015. I don't think it's a pull-in. You are right with your comments on the 80 watts. I mean, it's basically our current focus on getting the right component and qualified components in, which could lead to a 1 or 2-month delay. But that doesn't effectively has an impact on the throughput roadmap, which is 70 wafers per hour next year and 125 in 2015. And 125 wafers per hour is 250 watts. So I don't think there is a change here or a pull-in. It might be -- I might have misunderstood your question, Tim. So perhaps, any comment?

Timothy M. Arcuri - Cowen and Company, LLC, Research Division

Okay, okay, great. I guess, Peter, then just as a follow-up to that, you had been saying that in terms of your shipment plan that although the rev rec is a bit pushed out from December and to now March, you had been saying that your shipment plan would be to ship roughly one system per month next year. Can you update us on the shipment plan next year, just given this near-term pushout in rev rec?

Peter Th. F. M. Wennink

Yes. I think the shipment plan for next year, the 2 systems that we shipped, that we had to push to January of 2014, will basically be add-ons to what we shipped next year. So next year, we set about one per month. Now these were -- these 2 shipments were planned to ship this year, so they will be add-ons to 2014. So yes, between 12 and 14 units.

Operator

Your next question comes from Mehdi Hosseini from SIG.

Mehdi Hosseini - Susquehanna Financial Group, LLLP, Research Division

I think I may have to step on my line. Peter, going back to your comment on larger 20-nanometer and below, how do you describe the breadth of orders and the magnitude of incoming orders in the second half of '13? To what you were expecting, let's say, 2 to 6 months ago? And then I have a follow-up.

Peter Th. F. M. Wennink

Just for clarification, Mehdi, you are referring specifically to the 20-nanometer logic area?

Mehdi Hosseini - Susquehanna Financial Group, LLLP, Research Division

Yes, yes, yes.

Peter Th. F. M. Wennink

That is actually as we expect it. When we look 6 months ago, I think the order intake on the logic is developing as we planned, which is also driven by the very clear roadmap that customers have presented to us. Very clear in terms of the wafer capacity that they needed to add by the end of the year, and they're executing on this. So there's no change. What has changed in terms of order intake for the second half of the year was memory. And clearly, we anticipated the NAND capacity additions, but we're now also seeing requirements from DRAM customers. And I think that was different than what we saw 6 months ago. And I know it's a more extended answer than you asked for, but I think it best represents the order and the backlog situation.

Mehdi Hosseini - Susquehanna Financial Group, LLLP, Research Division

Appreciate it. And then switching gears on EUV, I hear from some of your key customers that mask inspection and repair is one of the key bottlenecks outside of the stepper light source. How do you see that particular area developing? And worse comes to worse, would you actually step up and try to expedite the development of the light source required for mask inspection?

Peter Th. F. M. Wennink

Interesting questions. Let's inspect them. The mask and inspection and repairs which is, in fact, the focus that customers are putting on this, is good news. Because it means that -- and this is also how we feel it, that they know that for an EUV infrastructure, you don't only need a lithography machine, you need more. It is not only mask, it's also photoresist. So yes, we have been in discussions with customers, or at least they have shared with us their ideas of what needs to be done. Now clearly, we've seen increased activity in the equipment industry as it relates to mask inspections over the last, I would say, introspectively, over the last 6 months, whereby we have also started discussions with partners in the industry and see where we can help. Now for volume production EUV, the mask inspection tool is not needed. You can do mask in especially -- or basically using our litho scanner. That's very expensive. You basically check the mask on the wafer. That is what they will

do in their preproduction, which basically means that mask inspection can be done, but ultimately, when you go into volume production by 2017, you want to have a mask inspection tool by not using the very valuable time of your litho EUV scanner. So for the time being, it's not a major issue, but it is something that needs to be resolved by 2017. And that is where contacts are now intensified with customers, but also with other players in the industry. And I think it will be done. And your last question was on whether we could use a EUV source for?

Mehdi Hosseini - Susquehanna Financial Group, LLLP, Research Division

Would you actually try to accelerate the development needed for the light source using mask inspection? But -- because I see the light source for mask inspection been the major bottleneck in this area.

Peter Th. F. M. Wennink

Yes. I mean, it's -- we have not entered into any specific discussions on this subject. Clearly, we have a lot of knowledge in this field. And I also think that in the discussion we will have over the next 12 to 24 months with partners in the industry and with our customers, we will see how we can share and how the industry can benefit off our knowledge. And that will be a subject of discussions, you can rest assured. But that's something for the next 12 to 24 months, yes.

Operator

Our next question comes from Gareth Jenkins from UBS.

Gareth Jenkins - UBS Investment Bank, Research Division

Just a quick one on NAND capacity if I could. I just wondered whether that's being driven by 3D from a customer in China, and if last Chinese [indiscernible] more than that one customer. And I just have a quick follow-up on an earlier question on gross margins on the EUV afterwards.

Peter Th. F. M. Wennink

Okay, thanks. Yes, I think the bookings -- the Q3 bookings, it was at 42%, was memory. The largest part of the 43% is NAND, part of which is, indeed, a specific project that you referred to. But there are also other areas where we ship those facilities. Not exclusive, that particular project that you referred to. So on -- what was your question on...

Gareth Jenkins - UBS Investment Bank, Research Division

Just wondered what the liabilities are predicated on and whether there's any possibility that the 20% gross margin that you see going forward is, I get, on the EUV risk at all if certain criteria are met on the laser. It looks like the liabilities are very high in terms of litho amounts.

Peter Th. F. M. Wennink

Yes. I think on the first 11 systems, when we upgrade from the 30 -- to the 30, 50, we actually are now in a situation where we almost have to completely revamp the whole source. So we could say, for the first 11 system, we need 2 sources, which is, of course, not our plan going forward. When we moved to the 30, 50, it will be the final EUV source, the final architecture. It's the mobile people's architecture. You might remember that we started the EUV source in what we call the normal mode, which is in different architecture, and now we need to upgrade to mobile [indiscernible]. So from normal to mobile [indiscernible] is not something which we are planning to do after system 11. So this is particularly high, but you could say, well, the first 11 systems, unfortunately, need 2 sources.

Operator

Our next question comes from Simon Schafer from Goldman Sachs.

Simon F. Schafer - Goldman Sachs Group Inc., Research Division

I wanted to come back to the DUV discussions. I guess one of the implications of EUV being so difficult and also one of your customers deciding to go deal track. Am I right in saying that actually increases the layer intensity and the litho intensity to DUV in the next 18 months or so? And then I have a quick follow-up on the same topic.

Peter Th. F. M. Wennink

The answer is yes. Yes, it's -- I will answer it as a bit more broadly, but you are indeed correct. It means that if you go to us, it is dual strategy, which actually the whole dual litho strategy was developed to make sure that our customers can present a design rule package to their customers. And so they have an either-or strategy, which means that the either strategy, which is the deep UV centrical -- immersion-centric strategy is a highly litho-intensive one because it adds a few litho layers, which are highly critical ones. So yes, as a result of that strategy and being able to present a set of design rules that are either/or on 10 nanometer, yes, the consequence is that this will be more litho intensive.

Simon F. Schafer - Goldman Sachs Group Inc., Research Division

Got it. And I guess interesting that one of your customers describing that as dual track down to the 10-nanometer node, but is dual track even feasible for 7 nanometer or are we going to be on a one track mode for EUV only?

Peter Th. F. M. Wennink

Yes. I think on the 7 nanometer, I think there is consensus with everybody that, that is an EUV node only. And that's why I think -- in any case, the phase 1 approach that I mentioned will happen because if this is difficult technology, if you do full immersion, no EUV on 10 nanometer, then the learning curve will be almost vertical, which for 7 nanometer is a major issue. So I believe that customers will go for a controlled EUV insertion in any case despite the throughput, no matter what the throughput is. And if the throughput is what our roadmap says, then we clearly have an upside. So it is because of that reason that we need EUV at 7 nanometer. That is, I think, a driver for an EUV insertion, at least a few nodes or a few layers at the 10-nanometer node.

Operator

Our next question come from Sandeep Deshpande from JPMorgan.

Sandeep S. Deshpande - JP Morgan Chase & Co, Research Division

Peter, firstly, I have a question on one of your responses earlier regarding giving your customers' flexibility to decide how many layers of EUV they do by the end of '14. Given that you're now delaying that decision for your customers to end of '14, will you have enough time if they tell you by the end of '14 to ramp up, if they were ready -- if you were all ready to go as such at the end of '14, that you can do say, 30, 40 system, about 30 systems in '15 simply because they want to do additional layers? That's my first question. And then a second question I have on the service revenue.

Peter Th. F. M. Wennink

Yes. I think with respect to one of your question, we have not -- you could say, it was not our decision to tell our customers you have to delay your decision to the second half of 2014. It has been the transparent and open discussion that we have with them whereby they said we are going to develop this dual -- this double strategy, which actually is good for them and it is good for us because they can present their customers with a solution and we create time and space for the development and the introduction of EUV. Now when we reach those throughput levels, then your question is will you have the output capacity to move to 25 to 30 units. Now from an industrial capacity, yes, we do. From a supply chain point of view, there are only very, very few components. And you can think about the optics, for instance, that has a lead time that is a bit longer. Now lead times are coming down as we speak. So when that decision is taken up by the end of 2014, as you say, but in the second half, then we will be able, when we know what our customer's decision is in the second half of 2014, to have an impact on our outlook in the second half of 2015. So we will be able to accelerate in 2015 because we will have the industrial capacity, i.e., we will have the factory, we'll have the people and we will potentially have to preorder 1 or 2 very critical components like the POBs, which are the projection optics boxes, which, given the opportunity, we will probably do. But we have time to take that position. So yes, we will be able to respond, but customers will not wait till the end of 2014. It will be in the second -- somewhere in the -- I would think somewhere in the second half.

Sandeep S. Deshpande - JP Morgan Chase & Co, Research Division

I actually, sorry, have a follow-up to this, Peter. Is the -- I mean, because of the additional requirement of immersion, if they -- for this dual track, I mean, are they going to order both set of tools then? Because you see, they don't need both sets of tools. I mean, if they were going to go, for instance, much more in terms of number of layers for EUV, then they will require lesser immersion. So can you give the -- it's going to make this planning your production much more difficult, essentially.

Sandeep S. Deshpande - JP Morgan Chase & Co, Research Division

Yes. But if you look at -- if the problem is can we follow with the immersion demand, that is less of an issue because the cycle times have come down significantly. So we could react within 6 to 9 months. And currently, don't forget, we are also, for the fourth quarter and the first 2 quarters of next year, at pretty high output capacity. So that will not be an issue. We can respond on immersion. So if by the second half of 2014, they decide to go for a more, let's say, aggressive EUV scenario, we can react. If they don't do it and they need more immersion, we can also react. We actually have that capacity, and we create that into an old capacity, but driving the cycle time and the factory down, which we are doing as we speak. So we have enough capacity for both scenarios.

Sandeep S. Deshpande - JP Morgan Chase & Co, Research Division

Understood. And my follow-up question for you, Peter, would be on the services business. I mean, you had EUR 215 million of services in Q1 before the acquisition of Cymer. Now you're reporting EUR 358 million. Part of that is, of course, Cymer in the services revenue. How much have you grown organically? Because this is where the Holistic Lithography, et cetera, comes in. How much have you grown organically this year?

Peter Th. F. M. Wennink

Well, I mean, is -- you can look at the historical Cymer numbers. So it is not a surprise that those numbers are -- those Cymer service numbers are close to EUR 100 million. It's about EUR 80 million to EUR 90 million. And so the rest means that we do EUR 360 million in Q3. If you take a EUR 80 million to EUR 90 million off, which means that we are at a peak in terms of our field options and service sales. And a big part of that has to do with the field options related to system announcements in the applications group. So yes, that is growing.

Operator

The next question comes from Andrew Gardiner of Barclays.

Andrew M. Gardiner - Barclays Capital, Research Division

I just -- had another question on EUV, I'm afraid, not to belabor the point too much. But just in terms of trying to draw the distinction between the delays that you have in delivering the 3300B tools this year and into early next year, and so the customer's decision around the actual production tools delivery in '15 and '16, I mean, is -- clearly, the 2 are somewhat linked but there is a -- there must be a distinction now. I'm just wondering if the reason the customers are now asking to delay the decision to the second half of next year is, is that because they've got less confidence in what you're able to do than perhaps you guys do, and clearly, you're sounding very confident today? Or is it they just want to be able to get some of these R&D tools and play with them a bit more, understand the recipe a bit better and then have confidence in themselves that they're going to be able to deploy that at a certain number of layers? Just a bit more detail around how the customers are considering, it would be helpful.

Peter Th. F. M. Wennink

Yes. I think I want to -- I'd like to correct one of your statements if you say we did not request the customers do delay their decision to the second half of 2014. This has been the result -- yes, the customers have created their space for them also, yes. Whereby they said -- their problem was they need to present a set of design rules to their customers early 2014. Now if they only have one strategy, then they need to take that decision on litho early 2014. They created for themselves space and time because they also won't -- don't want to lose the opportunity of EUV, yes. And I think EUV just presents them with very clear advantages in much tighter shrink, in yield, in the electrical capabilities of the device. So they need EUV. What they've actually done is they said, why don't we create a strategy whereby it's either a litho-centric -- sorry, a immersion-centric strategy or a EUV-centric strategy on the critical layers. Thereby solving the problem for our customers early 2014, because it doesn't matter. It's either/or. And we then create space and time to work with ASML and to work on the improvements on EUV with respect to throughput, with respect to stability. So that when it is there, we can use EUV, which is our preferred way of going forward. So it's not -- indirectly, because of where we are, which we push them, but it's their decision to create this novel strategy, yes. And as a result of it, we create time and space to work with them and that this imminent decision is now basically pushed back 6 to 9 months. So this is how customers look at it today. So the good news is that we have some more time, and that is one. The other part of the good news is that it shows, again, how critically important EUV is for them and they want to -- they do not want to lose the opportunity. This is why they go through, let's be very honest, costly, double development work to create a double litho insertion strategy. I mean, this is what we meant when we say we have intensified the cooperation with our customers on this issue because we need to help them, either through immersion DPV or through EUV. But clearly, it is clear from what they're doing what their focus is. Their focus is on EUV, and they like to create a situation where the likelihood of that happening is, of course, higher. And that's what we're doing today. So I don't know whether that answers your question.

Andrew M. Gardiner - Barclays Capital, Research Division

No, it does, yes. Yes -- no, that's definitely clear. And I suppose just related to that, I mean, is there -- can you just remind us of where your planning is for R&D next year, both from a gross and a net perspective? Are there any changes as a result of sort of how the development has tracked? You talked about EUR 1 billion last time we were on the call.

Peter Th. F. M. Wennink

Yes, And that's still the case. We have the EUR 1 billion. What we will see is next year, we have a slightly higher contribution from the CCIP partners. So that will show in other income. And as it relates to Intel, it will show in the gross margin. But gross, it will be about this EUR 1 billion.

Operator

Our next question comes from Mahesh Sanganeria from RBC Capital Markets.

Mahesh Sanganeria - RBC Capital Markets, LLC, Research Division

Just changing the tone a little bit. Your backlog is evenly split between foundry and IDM and memory, and I would think that your revenue profile will be similar to your backlog for Q4. Since you have visibility in the first half of next year, can you give us some indication of how that distribution look -- will look like in the first half of 2014 in IDM, foundry and memory?

Peter Th. F. M. Wennink

Yes. I think I need to do that qualitatively. Although on the fourth quarter, your assumption is that the fourth quarter division or the split in the revenue will be similar to the backlog is not entirely the case. We will have -- like I said, logic is 33%, but the logic shipments for the 20-nanometer node will still be pretty strong in the fourth quarter. So it's not going to be exactly as the backlog split. But the trend for the first half is that we believe that 20 nanometers will stay as strong as we have seen in the second -- as in the second half of 2013, whereby the NAND shipments, which are basically capacity additions, will ship because of -- some of the new projects that have been announced and that are -- that will take tool stocking Q4. That will -- so that will take an increasing -- a increasingly bigger part of our revenue and of total that we've seen in the first view, there are more that's coming in. And I do expect that in first half of 2014, we will have also some additional shipments to DRAM customers. So looking at the total memory portion, I think the memory portion will grow as compared to what we have seen in Q3 and Q4 of this year, whereby the total sales is for the first half 2014 as compared to second half 2013 will be about equal. But the -- in the first half of next year, higher emphasis on the memory shipments.

Mahesh Sanganeria - RBC Capital Markets, LLC, Research Division

Okay, that's very helpful. And one question on the EUV. You're shifting focus likely from necessarily not going after the higher power, but the more on the stability of the source and make it production worthy. What metrics should we be following to the -- to see the progress, how you are doing on the stability of the overall tool and the source?

Peter Th. F. M. Wennink

Yes. I think, first, I'd like to correct you, if I may. It's not that we're not going after the -- in the higher power, because we are. We are on track to do the 125 watts, which is 70 wafers per hour and the 250 watts. That has not changed. The only issue is that short term, we are more focused on the stability of the machine, given the fact that we have the delay experience. And it was just as frustrating for us as it is for you. And that, we need to recoup over the next couple of months, and we will. So it is not that we're not going after the high power, it is just simply that the focus today is on the integration and on the stability of that source. Now metrics that you should be looking for, like I started off, we have to have this singular focus on the wattage, which we created, to be honest. And I think there is much more than just wattage, because there is the feature size, there is the cleanliness, there is the contamination control, there is the metrology control, there's the close loop. There's a lot of issues that are surrounding the EUV source, which all make this an integrated source, yes, which also translate back to customers, which is not only wafers per hour, but it's imaging feature size, it's imaging quality, it is overlay requirement. It is all these things that all add to the EUV solution, yes. Now I can make a glitz where all these things I just mentioned are in, and it will make it highly complex for you. The message that I'm giving you is, effectively, we've been pitching you on wattage like this was the only thing, which isn't, yes. So don't use wattage as a metric going forward. We'll do wafers per hour. In the end, that is what customers want, yes. This is what drives the economics of EUV, and this is what we should focus on. And we have made it probably unduly complex by focusing on wattage, which is not the only thing. And I hope that -- if I can give you some help, that I would say the only metric that really counts is the wafers per hour with the stability tool that gives, at least, an availability of a certain percentage for our customers to introduce into the preproduction of their next nodes. And I would start with the wafers per hour, and we will inform you about wafers per hour when we reach those levels and is basically the roadmap. So let's keep the roadmap as the metric.

Operator

Your next question comes from Didier Scemama.

Didier Scemama - BofA Merrill Lynch, Research Division

Great. Peter, I just like to sort of discuss with you the worst case scenario. So we saw a doubling of litho intensity from 28 to 20 for logic. If we were to be stuck with 2 -- 1 or 2 immersion -- excuse me, EUV layers at 10 nanometer, what would be the increasing litho intensity associated with immersion? Would that be a doubling again versus 20?

Peter Th. F. M. Wennink

I would not call that the worst case scenario. From an economics point of view, that's the best case scenario, because it effectively means that you have very high, intensive immersion usage with a lot of application. Now it could be the worst case scenario for, let's say, the cost. But customers have looked at this and said, "We can do this, very immersion-centric, highly application-loaded solution for 10 nanometer, or we can do the EUV centric." Both will present a solution to their customer so that they can start with the design rules that our logic customers are giving them, which will create the value in the end devices that the customers of our customers think that they can give. So I think it all means that shrink will happen, but the cost structures might be different for our customers. But still, the value of the shrink is not in any doubt. Now what that means is that the worst case, what you call the worst case scenario, which is only 1 or 2 layers, means that we need a lot of extra litho tools, yes. Those will be loaded with application solutions. Will there be another doubling of litho? Not very likely another doubling, but a significant increase and significant is definitely more than 20%, yes, is very likely. And that is -- you could say that's the flip side of a best economic case for a ASML and a not so good economics case for our customers is that they need more litho and they need more lithography cost and patterning cost. But it will provide the shrink value for the devices that our customers -- or the customers of our customers are currently planning.

Didier Scemama - BofA Merrill Lynch, Research Division

And maybe -- that's brilliant. That's a great color. But a bit follow-up on that. So number one, can you give us a sense of what your customers are saying in terms of the penalty cost for the customers of your customers? So how much does litho cost go up in the case of, I don't know, quadruple patterning for the majority of the layers and maybe 1 or 2 layers addressed by EUV? Number one. And number 2, how many of the -- or how much of the installed capacity already at 28 and going forward at 20 will, therefore, be of immersion machines will there -- will be sort of used again, if you want, for the 10-nanometer nodes? So in -- effectively, what I'm saying is, how much incremental tools are needed if that scenario were to materialize?

Peter Th. F. M. Wennink

Yes. I think the issue on reuse on -- one, the cost per wafer is very different customer per our customers. So we always have to go on a customer by customer basis to answer your question, which I'm not going to do. But there will be a -- you could -- I wouldn't call it the penalty but there will be an increased cost to provide an extra shrink value. There will be, yes. And it will determine -- it will be different from customer to customer because some customers have a different architecture. Others have different yields. They have different utilization. So it's difficult to answer that question, to give you a generic answer. On the reuse, you have to realize that when you go into multiple-pass immersion patterning strategies, that not every machine that you can use, that currently use a 28 nanometer can be used at 20 nanometer, yes. We introduced the 1970, which is the 1970 is a new machine with a, let's say, new mechanics that will enable 250 wafers per hour throughput and 20-nanometer overlay. This is what you need with those very advanced critical immersion strategies. Now the current 1960 and 1950 cannot do that, yes. I mean, I would like to refer to a slide which is the pack of this quarterly result which actually shows that. It's quite a significant difference in performance. Now there are performance upgrade packages that we can sell, but those are very expensive. You need to think about EUR 10 million each, which actually means that those fine mechanics will be replaced, which is almost open-heart surgery in the field. Now -- and that also is -- will happen at certain places. But you have to realize then if you do open-heart surgery, that, that tool is out of production for quite a significant time, which not all customers would like. So it's a complex question. Some customers will do it. They will upgrade, 1950, 1960 to 1970 performance, but that means quite significant upgrade cost and margin for us and require a lot of downtime in their factories. So when you look at this, I think it will happen, not every critical layer needs 1970 performance. So there will be some layers that -- where you can probably do this. But for those that do not -- do need 1970, I think the best option is to buy a new machine also because, like in the foundry space, capacity of the existing node, yes, always stays basically available for device architectures that are not leading edge. So if you have a 28-nanometer device, like we have a 45-nanometer capacity out there, we haven't seen, in our foundry install base, many system changes from 45 to 28, because 45 nanometer stays needed at that capacity level, yes. It's always on top. So that I see -- there's a lot of reuse and cannibalization. It can happen, but there are quite a big hurdle. There are quite some hurdles for customers to do that.

Didier Scemama - BofA Merrill Lynch, Research Division

And did you -- I know it's great. And then presumably, from a financial point of view for ASML, if that scenario were to materialize, you may end up actually having maybe slightly higher revenues and certainly higher gross margins, given that you make higher -- more money on immersion machines than on EUV machines. Is that fair?

Peter Th. F. M. Wennink

Yes. It will provide a buffer for the undoubtedly lower EUV margins that we have initially, and it will take some time to get to corporate average. I mean, it is a clear buffer, yes. And that's what it will provide, and that's correct.

Craig DeYoung

All right then. Thank you, everybody. I'm afraid we ran out of time. So if you aren't able to get through on the call, the IR team is available to answer some questions and help you the rest of this afternoon and, certainly, tomorrow.

And now on behalf of Peter and the rest of the board of management, I'd like to thank you for joining the call today. And, Stella, if you could formally conclude the call, we'd appreciate it. Thanks.

Operator

Ladies and gentlemen, this concludes the ASML 2013 Third Quarter Results Conference Call. Thanks for participating. You may now disconnect.